1. pragma Ada_2012; 
  2. --  (c) Copyright, Real-Time Innovations, $Date;: 2012-10-31 #$ 
  3. --  All rights reserved. 
  4. -- 
  5. --  No duplications, whole or partial, manual or electronic, may be made 
  6. --  without express written permission.  Any such copies, or 
  7. --  revisions thereof, must display this notice unaltered. 
  8. --  This code contains trade secrets of Real-Time Innovations, Inc. 
  9.  
  10.  
  11. with Ada.Containers; 
  12. with Ada.Unchecked_Deallocation; 
  13. with Ada.Calendar; 
  14. with Ada.Real_Time; 
  15. with Interfaces; 
  16. private with Interfaces.C; 
  17. with Interfaces.C.Strings; 
  18.  
  19. with DDS_Support; 
  20. with DDS_Support.Sequences_Generic; 
  21. with RTIDDS.Low_Level.ndds_dds_c_dds_c_builtin_trust_h; 
  22. with RTIDDS.Low_Level.ndds_dds_c_dds_c_common_h; 
  23. with RTIDDS.Low_Level.ndds_dds_c_dds_c_subscription_h; 
  24. with RTIDDS.Low_Level.ndds_ndds_config_c_h; 
  25. with RTIDDS.Low_Level.ndds_ndds_config_common_h; 
  26. with System; 
  27. with RTIDDS.Low_Level.ndds_dds_c_dds_c_builtin_h; 
  28. with RTIDDS.Low_Level.ndds_dds_c_dds_c_builtintypes_h; 
  29. with RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h; 
  30. with RTIDDS.Low_Level.ndds_dds_c_dds_c_publication_h; 
  31. with RTIDDS.Low_Level.ndds_dds_c_dds_c_sqlfilter_h; 
  32. with RTIDDS.Low_Level.ndds_dds_c_dds_c_topic_h; 
  33. with RTIDDS.Low_Level.ndds_dds_c_dds_c_domain_h; 
  34. with RTIDDS.Low_Level.ndds_dds_c_dds_c_flowcontroller_h; 
  35. with RTIDDS.Low_Level.ndds_dds_c_dds_c_builtin_impl_h; 
  36. with RTIDDS.Low_Level.ndds_dds_c_dds_c_typecode_h; 
  37. with RTIDDS.Low_Level.ndds_dds_c_dds_c_typeobject_h; 
  38. with RTIDDS.Low_Level.ndds_pres_pres_common_impl_h; 
  39. with RTIDDS.Low_Level.ndds_osapi_osapi_hash_h; 
  40. with RTIDDS.Low_Level.ndds_reda_reda_fastBuffer_h; 
  41. with RTIDDS.Low_Level.ndds_pres_pres_participant_h; 
  42. with Ada.Finalization; 
  43. --  with Rtidds.Annotations; use Rtidds.Annotations; 
  44.  
  45. --  GNAT 19 an under has a bug that prevents pragma Compile_Time_Error 
  46. --  from computing sizes correctly during compilation. 
  47. pragma Ignore_Pragma (Compile_Time_Error); 
  48. pragma Elaborate_All (DDS_Support.Sequences_Generic); 
  49.  
  50. --  <module name="DDSInfrastructureModule" actualName="Infrastructure Module">InfrastructureGroupDocs</module> 
  51. package DDS is 
  52.  
  53.  
  54.    --  Note that this package dependes heavely on the underlaying C implementation 
  55.    --  and will change when a full Ada implementation is avalible. 
  56.    -- 
  57.    --  Worth to notice is that erros from the underlaying midlleware is 
  58.    --  translated to exceptions, since there is no posibillity to ignore 
  59.    --  function returns in Ada. 
  60.    -- 
  61.    --  From osapi_types.h 
  62.    -- 
  63.  
  64.    Binding_Version : constant Standard.String := "7.2.0"; 
  65.  
  66.    --  ------------------------------------------------- 
  67.    --                 CDR Primitive Types 
  68.    --  ------------------------------------------------- 
  69.  
  70.    type    Short              is new Interfaces.C.short; 
  71.    --  <defgroup>CdrGroupDocs</defgroup> 
  72.    --  <dref>Short</dref> 
  73.  
  74.    type    Long               is new Interfaces.C.int; 
  75.    --  <dref>Long</dref> 
  76.  
  77.    subtype Integer  is Long; 
  78.    subtype Natural  is Integer range 0 .. Integer'Last; 
  79.    subtype Positive is Integer range 1 .. Integer'Last; 
  80.  
  81.    type Long_Long          is new Interfaces.Integer_64; 
  82.    pragma Compile_Time_Error (Long_Long'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_common_h.DDS_LongLong'Size, "Long_Long'Size missmatch"); 
  83.    --  <dref>LongLong</dref> 
  84.  
  85.    type Unsigned_Short     is new Interfaces.Unsigned_16; 
  86.    pragma Compile_Time_Error (Unsigned_Short'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_common_h.DDS_UnsignedShort'Size, "Unsigned_Short'Size missmatch"); 
  87.    --  <dref>UnsignedShort</dref> 
  88.  
  89.    type Unsigned_Long      is new Interfaces.Unsigned_32; 
  90.    pragma Compile_Time_Error (Unsigned_Long'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_common_h.DDS_UnsignedLong'Size, "Unsigned_Long'Size missmatch"); 
  91.    --  <dref>UnsignedLong</dref> 
  92.  
  93.    type Unsigned_Long_Long is new Interfaces.Unsigned_64; 
  94.    pragma Compile_Time_Error (Unsigned_Long_Long'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_common_h.DDS_UnsignedLongLong'Size, "Unsigned_Long_Long'Size missmatch"); 
  95.    --  <dref>UnsignedLongLong</dref> 
  96.  
  97.    type Enum               is new Interfaces.Unsigned_32; 
  98.    --  <dref>CdrEnum</dref> 
  99.  
  100.    type Float           is new Interfaces.IEEE_Float_32; 
  101.    --  <dref>Float</dref> 
  102.  
  103.    type Double          is new Interfaces.IEEE_Float_64; 
  104.    --  <dref>Double</dref> 
  105.  
  106.    type Long_Double     is new Interfaces.IEEE_Extended_Float; 
  107.    --  <dref>LongDouble</dref> 
  108.  
  109.    subtype Char            is Standard.Character; 
  110.    --  <dref>Char</dref> 
  111.  
  112.    subtype Wchar           is Standard.Wide_Character; 
  113.    --  <dref>Wchar</dref> 
  114.  
  115.    subtype Octet           is Interfaces.Unsigned_8; 
  116.    --  <dref>Octet</dref> 
  117.    function Image (Item : Octet) return Standard.String; 
  118.  
  119.    INFINITE : constant := -1; 
  120.    type Octets is record 
  121.       Length : Integer; 
  122.       Value  : System.Address; 
  123.    end record with Convention => C; 
  124.    pragma Compile_Time_Error (Octets'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_builtintypes_h.DDS_Octets'Size, "Octets size missmatch."); 
  125.  
  126.    --  <dref>Octets</dref> 
  127.    --  <dref name="length">Octets_length</dref> 
  128.    --  <dref name="value">Octets_value</dref> 
  129.  
  130.    Null_Octets : constant Octets := (0, System.Null_Address); 
  131.    subtype Boolean         is Standard.Boolean; 
  132.    --  <dref>Boolean</dref> 
  133.  
  134.    --  subtype String          is Interfaces.C.Strings.chars_ptr; 
  135.  
  136.    type String  is limited record 
  137.       Data  : aliased Interfaces.C.Strings.chars_ptr := Interfaces.C.Strings.Null_Ptr; 
  138.       pragma Obsolescent (Data, "This is internal data and not to be referenced outside the DDS hierachy:" & 
  139.                             "use Operations on the whole record instead!"); 
  140.    end record with 
  141.      Convention => C; 
  142.    --  <dref>String</dref> 
  143.  
  144.    function Length (Item : String) return Natural; 
  145.    function "=" (L : DDS.String; R : Standard.String) return Standard.Boolean; 
  146.    function "=" (L : Standard.String; R : DDS.String) return Standard.Boolean; 
  147.    function "&" (L : DDS.String; R : Standard.String) return Standard.String; 
  148.    function "&" (L : Standard.String; R : DDS.String) return Standard.String; 
  149.    procedure Append (To : in out DDS.String; Data : DDS.String); 
  150.    procedure Append (To : in out DDS.String; Data : Standard.String); 
  151.  
  152.    procedure Prepend (To : in out DDS.String; Data : DDS.String); 
  153.    procedure Prepend (To : in out DDS.String; Data : Standard.String); 
  154.  
  155.    type KeyedString is record 
  156.       Key   : DDS.String; 
  157.       Value : DDS.String; 
  158.    end record with Convention => C; 
  159.    pragma Compile_Time_Error (KeyedString'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_builtintypes_h.DDS_KeyedString'Size, "KeyedString size missmatch."); 
  160.  
  161.    --  <dref>KeyedString</dref> 
  162.    --  <dref name="key">KeyedString_key</dref> 
  163.    --  <dref name="value">KeyedString_value</dref> 
  164.  
  165.    type KeyedOctets is record 
  166.       Key    : DDS.String; 
  167.       Value  : Octets; 
  168.    end record with Convention => C; 
  169.    pragma Compile_Time_Error (KeyedOctets'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_builtintypes_h.DDS_KeyedOctets'Size, "KeyedOctets size missmatch."); 
  170.  
  171.    --  <dref>KeyedOctets</dref> 
  172.    --  <dref name="key">KeyedOctets_key</dref> 
  173.    --  <dref name="length">KeyedOctets_length</dref> 
  174.    --  <dref name="value">KeyedOctets_value</dref> 
  175.  
  176.  
  177.    NULL_STRING     : constant DDS.String := (Data => Interfaces.C.Strings.Null_Ptr); 
  178.  
  179.    type Wchars_Ptr is access all Standard.Wide_Character 
  180.      with Convention => C; 
  181.  
  182.    type Wide_String is limited record 
  183.       Data : Wchars_Ptr; 
  184.    end record with 
  185.      Convention => C; 
  186.  
  187.    --  <dref>Wstring</dref> 
  188.  
  189.    function WValue (Item : Wchars_Ptr) return Interfaces.C.char16_array; 
  190.    function "+" (Left : Wchars_Ptr; Right : Interfaces.C.size_t) return Wchars_Ptr; 
  191.    function Peek (From : Wchars_Ptr) return Standard.Wide_Character; 
  192.  
  193.    --  Pointers on the previous types 
  194.  
  195.    type    Short_Ptr              is access all Short; 
  196.    type    Long_Ptr               is access all Long; 
  197.    type    Long_Long_Ptr          is access all Long_Long; 
  198.    type    Unsigned_Short_Ptr     is access all Unsigned_Short; 
  199.    type    Unsigned_Long_Ptr      is access all Unsigned_Long; 
  200.    type    Unsigned_Long_Long_Ptr is access all Unsigned_Long_Long; 
  201.    type    Enum_Ptr               is access all Enum; 
  202.    type    Float_Ptr              is access all Float; 
  203.    type    Double_Ptr             is access all Double; 
  204.    type    Long_Double_Ptr        is access all Long_Double; 
  205.    type    Char_Ptr               is access all Char; 
  206.    type    Wchar_Ptr              is access all Wchar; 
  207.    type    Octet_Ptr              is access all Octet; 
  208.    type    Octets_Ptr             is access all Octets; 
  209.    type    Boolean_Ptr            is access all Boolean; 
  210.    type    String_Ptr             is access all String; 
  211.    type    KeyedString_Ptr        is access all KeyedString; 
  212.    type    KeyedOctets_Ptr        is access all KeyedOctets; 
  213.    type    Wide_String_Ptr        is access all Wide_String; 
  214.  
  215.    --  ... and deallocation method for each pointer type 
  216.  
  217.    procedure Deallocate is new Ada.Unchecked_Deallocation (Short, Short_Ptr); 
  218.    procedure Deallocate is new Ada.Unchecked_Deallocation (Long, Long_Ptr); 
  219.    procedure Deallocate is new Ada.Unchecked_Deallocation (Long_Long, Long_Long_Ptr); 
  220.    procedure Deallocate is new Ada.Unchecked_Deallocation (Unsigned_Short, Unsigned_Short_Ptr); 
  221.    procedure Deallocate is new Ada.Unchecked_Deallocation (Unsigned_Long, Unsigned_Long_Ptr); 
  222.    procedure Deallocate is new Ada.Unchecked_Deallocation (Unsigned_Long_Long, Unsigned_Long_Long_Ptr); 
  223.    procedure Deallocate is new Ada.Unchecked_Deallocation (Enum, Enum_Ptr); 
  224.    procedure Deallocate is new Ada.Unchecked_Deallocation (Float, Float_Ptr); 
  225.    procedure Deallocate is new Ada.Unchecked_Deallocation (Double, Double_Ptr); 
  226.    procedure Deallocate is new Ada.Unchecked_Deallocation (Long_Double, Long_Double_Ptr); 
  227.    procedure Deallocate is new Ada.Unchecked_Deallocation (Char, Char_Ptr); 
  228.    procedure Deallocate is new Ada.Unchecked_Deallocation (Wchar, Wchar_Ptr); 
  229.    procedure Deallocate is new Ada.Unchecked_Deallocation (Octet, Octet_Ptr); 
  230.    procedure Deallocate is new Ada.Unchecked_Deallocation (Boolean, Boolean_Ptr); 
  231.    procedure Deallocate is new Ada.Unchecked_Deallocation (String, String_Ptr); 
  232.    procedure Deallocate is new Ada.Unchecked_Deallocation (Wide_String, Wide_String_Ptr); 
  233.  
  234.    DOMAIN_ID_MAX : constant := 250; 
  235.  
  236.    type DomainId_T is new Long range 0 .. DOMAIN_ID_MAX; 
  237.    --  <dref>DomainId_t</dref> 
  238.  
  239.    Default_Domain  : constant DomainId_T := 0; 
  240.  
  241.    -- 
  242.    --  From dds_c/dds_c_infrastructure.h 
  243.    -- 
  244.  
  245.    --  ------------------------------------------------- 
  246.    --                 CDR Primitive Types Array and Sequences 
  247.    --  ------------------------------------------------- 
  248.  
  249.    type Short_Array is array (Natural range <>) of aliased Short; 
  250.    procedure Initialize (Self  : in out Short); 
  251.    procedure Finalize (Self  : in out Short); 
  252.    procedure Copy (Dst : in out Short; Src : in Short); 
  253.    package Short_Seq is new DDS_Support.Sequences_Generic 
  254.      (Short, 
  255.       Short_Ptr, 
  256.       DDS.Natural, 
  257.       1, 
  258.       Short_Array); 
  259.    --  <defgroup>SequenceGroupDocs</defgroup> 
  260.    --  <dref>ShortSeq</dref> 
  261.  
  262.    type Long_Array is array (Natural range <>) of aliased Long; 
  263.    procedure Initialize (Self  : in out Long); 
  264.    procedure Finalize (Self  : in out Long); 
  265.    procedure Copy (Dst : in out Long; Src : in Long); 
  266.    package Long_Seq is new DDS_Support.Sequences_Generic 
  267.      (Long, 
  268.       Long_Ptr, 
  269.       DDS.Natural, 
  270.       1, 
  271.       Long_Array); 
  272.    --  <dref>LongSeq</dref> 
  273.  
  274.    type Long_Long_Array is array (Natural range <>) of aliased Long_Long; 
  275.  
  276.    procedure Initialize (Self  : in out Long_Long); 
  277.    procedure Finalize (Self  : in out Long_Long); 
  278.    procedure Copy (Dst : in out Long_Long; Src : in Long_Long); 
  279.    package Long_Long_Seq is new DDS_Support.Sequences_Generic 
  280.      (Long_Long, 
  281.       Long_Long_Ptr, 
  282.       DDS.Natural, 
  283.       1, 
  284.       Long_Long_Array); 
  285.    --  <dref>LongLongSeq</dref> 
  286.  
  287.    type Unsigned_Short_Array is array (Natural range <>) of aliased Unsigned_Short; 
  288.    procedure Initialize (Self  : in out Unsigned_Short); 
  289.    procedure Finalize (Self  : in out Unsigned_Short); 
  290.    procedure Copy (Dst : in out Unsigned_Short; Src : in Unsigned_Short); 
  291.    package Unsigned_Short_Seq is new DDS_Support.Sequences_Generic 
  292.      (Unsigned_Short, 
  293.       Unsigned_Short_Ptr, 
  294.       DDS.Natural, 
  295.       1, 
  296.       Unsigned_Short_Array); 
  297.    --  <dref>UnsignedShortSeq</dref> 
  298.  
  299.    type Unsigned_Long_Array is array (Natural range <>) of aliased Unsigned_Long; 
  300.    procedure Initialize (Self  : in out Unsigned_Long); 
  301.    procedure Finalize (Self  : in out Unsigned_Long); 
  302.    procedure Copy (Dst : in out Unsigned_Long; Src : in Unsigned_Long); 
  303.    package Unsigned_Long_Seq is new DDS_Support.Sequences_Generic 
  304.      (Unsigned_Long, 
  305.       Unsigned_Long_Ptr, 
  306.       DDS.Natural, 
  307.       1, 
  308.       Unsigned_Long_Array); 
  309.    --  <dref>UnsignedLongSeq</dref> 
  310.  
  311.    type Unsigned_Long_Long_Array is array (Natural range <>) of aliased Unsigned_Long_Long; 
  312.    procedure Initialize (Self  : in out Unsigned_Long_Long); 
  313.    procedure Finalize (Self  : in out Unsigned_Long_Long); 
  314.    procedure Copy (Dst : in out Unsigned_Long_Long; Src : in Unsigned_Long_Long); 
  315.    package Unsigned_Long_Long_Seq is new DDS_Support.Sequences_Generic 
  316.      (Unsigned_Long_Long, 
  317.       Unsigned_Long_Long_Ptr, 
  318.       DDS.Natural, 
  319.       1, 
  320.       Unsigned_Long_Long_Array); 
  321.    --  <dref>UnsignedLongLongSeq</dref> 
  322.  
  323.    type Enum_Array is array (Natural range <>) of aliased Enum; 
  324.    procedure Initialize (Self  : in out Enum); 
  325.    procedure Finalize (Self  : in out Enum); 
  326.    procedure Copy (Dst : in out Enum; Src : in Enum); 
  327.    package Enum_Seq is new DDS_Support.Sequences_Generic 
  328.      (Enum, 
  329.       Enum_Ptr, 
  330.       DDS.Natural, 
  331.       1, 
  332.       Enum_Array); 
  333.  
  334.    type Float_Array is array (Natural range <>) of aliased Float; 
  335.    procedure Initialize (Self  : in out Float); 
  336.    procedure Finalize (Self  : in out Float); 
  337.    procedure Copy (Dst : in out Float; Src : in Float); 
  338.    package Float_Seq is new DDS_Support.Sequences_Generic 
  339.      (Float, 
  340.       Float_Ptr, 
  341.       DDS.Natural, 
  342.       1, 
  343.       Float_Array); 
  344.    --  <dref>FloatSeq</dref> 
  345.  
  346.    type Double_Array is array (Natural range <>) of aliased Double; 
  347.    procedure Initialize (Self  : in out Double); 
  348.    procedure Finalize (Self  : in out Double); 
  349.    procedure Copy (Dst : in out Double; Src : in Double); 
  350.    package Double_Seq is new DDS_Support.Sequences_Generic 
  351.      (Double, 
  352.       Double_Ptr, 
  353.       DDS.Natural, 
  354.       1, 
  355.       Double_Array); 
  356.    --  <dref>DoubleSeq</dref> 
  357.  
  358.    type Long_Double_Array is array (Natural range <>) of aliased Long_Double; 
  359.    procedure Initialize (Self  : in out Long_Double); 
  360.    procedure Finalize (Self  : in out Long_Double); 
  361.    procedure Copy (Dst : in out Long_Double; Src : in Long_Double); 
  362.    package Long_Double_Seq is new DDS_Support.Sequences_Generic 
  363.      (Long_Double, 
  364.       Long_Double_Ptr, 
  365.       DDS.Natural, 
  366.       1, 
  367.       Long_Double_Array); 
  368.    --  <dref>LongDoubleSeq</dref> 
  369.  
  370.    type Char_Array is array (Natural range <>) of aliased Char; 
  371.    procedure Initialize (Self  : in out Char); 
  372.    procedure Finalize (Self  : in out Char); 
  373.    procedure Copy (Dst : in out Char; Src : in Char); 
  374.    package Char_Seq is new DDS_Support.Sequences_Generic 
  375.      (Char, 
  376.       Char_Ptr, 
  377.       DDS.Natural, 
  378.       1, 
  379.       Char_Array); 
  380.    --  <dref>CharSeq</dref> 
  381.  
  382.    type Wchar_Array is array (Natural range <>) of aliased Wchar; 
  383.    procedure Initialize (Self  : in out Wchar); 
  384.    procedure Finalize (Self  : in out Wchar); 
  385.    procedure Copy (Dst : in out Wchar; Src : in Wchar); 
  386.    package Wchar_Seq is new DDS_Support.Sequences_Generic 
  387.      (Wchar, 
  388.       Wchar_Ptr, 
  389.       DDS.Natural, 
  390.       1, 
  391.       Wchar_Array); 
  392.    --  <dref>WcharSeq</dref> 
  393.  
  394.    type Octet_Array is array (Natural range <>) of aliased Octet; 
  395.    pragma Convention (C, Octet_Array); 
  396.    procedure Initialize (Self  : in out Octet); 
  397.    procedure Finalize (Self  : in out Octet); 
  398.    procedure Copy (Dst : in out Octet; Src : in Octet); 
  399.    package Octet_Seq is new DDS_Support.Sequences_Generic 
  400.      (Octet, 
  401.       Octet_Ptr, 
  402.       DDS.Natural, 
  403.       1, 
  404.       Octet_Array); 
  405.    --  <dref>OctetSeq</dref> 
  406.  
  407.    function Octets_Of (Item : Octet_Array) return Octets is 
  408.      (Octets'(Length =>  Item'Length, Value => Item (Item'First)'Address)); 
  409.    function Octets_Of (Item : Octet_Seq.Sequence) return Octets is 
  410.      (Octets'(Length =>  Item.Length, Value => Item.Contiguous_Buffer.all'Address)); 
  411.  
  412.  
  413.    type Octets_Array is array (Natural range <>) of aliased Octets; 
  414.    pragma Convention (C, Octets_Array); 
  415.    procedure Initialize (Self : in out Octets); 
  416.    procedure Finalize (Self : in out Octets); 
  417.    procedure Copy (Dst : in out Octets; Src : in Octets); 
  418.    package Octets_Seq is new DDS_Support.Sequences_Generic 
  419.      (Octets, 
  420.       Octets_Ptr, 
  421.       DDS.Natural, 
  422.       1, 
  423.       Octets_Array); 
  424.    --  <dref>OctetsSeq</dref> 
  425.  
  426.    type Boolean_Array is array (Natural range <>) of aliased Boolean; 
  427.    procedure Initialize (Self  : in out Boolean); 
  428.    procedure Finalize (Self  : in out Boolean); 
  429.    procedure Copy (Dst : in out Boolean; Src : in Boolean); 
  430.    package Boolean_Seq is new DDS_Support.Sequences_Generic 
  431.      (Boolean, 
  432.       Boolean_Ptr, 
  433.       DDS.Natural, 
  434.       1, 
  435.       Boolean_Array); 
  436.    --  <dref>BooleanSeq</dref> 
  437.  
  438.    function To_DDS_String (Source : Standard.String) return DDS.String is 
  439.      (Data => Interfaces.C.Strings.New_String (Source)); 
  440.    function To_Standard_String (Source : DDS.String) return Standard.String; 
  441.  
  442.  
  443.    procedure Copy (Dst : in out DDS.String; Src : in Standard.String); 
  444.    procedure Copy (Dst : in out Standard.String; Src : in DDS.String); 
  445.    function "=" (L, R  : DDS.String) return Boolean; 
  446.  
  447.    type String_Array is array (Natural range <>) of aliased DDS.String; 
  448.    procedure Initialize (Self  : in out DDS.String); 
  449.    procedure Finalize (Self  : in out DDS.String); 
  450.    procedure Copy (Dst : in out DDS.String; Src : in DDS.String); 
  451.    package String_Seq is new DDS_Support.Sequences_Generic 
  452.      (DDS.String, 
  453.       String_Ptr, 
  454.       DDS.Natural, 
  455.       1, 
  456.       String_Array); 
  457.    --  <dref>StringSeq</dref> 
  458.  
  459.    function To_DDS_KeyedString (Key : Standard.String; Source : Standard.String) return DDS.KeyedString; 
  460.    function To_Standard_String (Source : DDS.KeyedString) return Standard.String; 
  461.    function Get_Key_From_KeyedString (Source : DDS.KeyedString) return Standard.String; 
  462.    function "=" (L, R  : DDS.KeyedString) return Boolean; 
  463.  
  464.    type KeyedString_Array is array (Natural range <>) of aliased DDS.KeyedString; 
  465.    procedure Initialize (Self  : in out DDS.KeyedString); 
  466.    procedure Finalize (Self  : in out DDS.KeyedString); 
  467.    procedure Copy (Dst : in out DDS.KeyedString; Src : in DDS.KeyedString); 
  468.    package KeyedString_Seq is new DDS_Support.Sequences_Generic 
  469.      (DDS.KeyedString, 
  470.       KeyedString_Ptr, 
  471.       DDS.Natural, 
  472.       1, 
  473.       KeyedString_Array); 
  474.    --  <dref>KeyedStringSeq</dref> 
  475.  
  476.    function KeyedString_Of (Value : DDS.String; Key : DDS.String) return KeyedString; 
  477.    function KeyedString_Of (Key : DDS.String) return KeyedString; 
  478.    function KeyedString_Of (Value : Standard.String; Key : Standard.String) return KeyedString; 
  479.    function KeyedString_Of (Key : Standard.String) return KeyedString; 
  480.    --  NOTE that the above functions does not do any copying of data 
  481.    --   They are just generation references. 
  482.  
  483.    type KeyedOctets_Array is array (Natural range <>) of aliased DDS.KeyedOctets; 
  484.    procedure Initialize (Self : in out DDS.KeyedOctets); 
  485.    procedure Finalize (Self : in out DDS.KeyedOctets); 
  486.    procedure Copy (Dst : in out DDS.KeyedOctets; Src : in DDS.KeyedOctets); 
  487.    package KeyedOctets_Seq is new DDS_Support.Sequences_Generic 
  488.      (DDS.KeyedOctets, 
  489.       KeyedOctets_Ptr, 
  490.       DDS.Natural, 
  491.       1, 
  492.       KeyedOctets_Array); 
  493.    --  <dref>KeyedOctetsSeq</dref> 
  494.  
  495.  
  496.  
  497.    function KeyedOctets_Of (Key : String; Value : Octets := Null_Octets) return KeyedOctets; 
  498.    function KeyedOctets_Of (Key : String; Value : Octet_Array) return KeyedOctets; 
  499.    function KeyedOctets_Of (Key : String; Value : Octet_Seq.Sequence) return KeyedOctets; 
  500.  
  501.    generic 
  502.       type Data_Type is private; 
  503.    function KeyedOctets_Of_Generic (Key : String; Value : Data_Type) return KeyedOctets; 
  504.  
  505.    function To_DDS_Wide_String (Source : Standard.Wide_String) return DDS.Wide_String; 
  506.    function To_Standard_Wide_String (Source : DDS.Wide_String) return Standard.Wide_String; 
  507.    procedure Copy (Dst : in out DDS.Wide_String; Src : in Standard.Wide_String); 
  508.    procedure Copy (Dst : in out Standard.Wide_String; Src : in DDS.Wide_String); 
  509.    function "=" (L, R  : DDS.Wide_String) return Boolean; 
  510.  
  511.    type Wide_String_Array is array (Natural range <>) of aliased DDS.Wide_String; 
  512.    procedure Initialize (Self  : in out DDS.Wide_String); 
  513.    procedure Finalize (Self  : in out DDS.Wide_String); 
  514.    procedure Copy (Dst : in out DDS.Wide_String; Src : in DDS.Wide_String); 
  515.    package Wide_String_Seq is new DDS_Support.Sequences_Generic 
  516.      (DDS.Wide_String, 
  517.       Wide_String_Ptr, 
  518.       DDS.Natural, 
  519.       1, 
  520.       Wide_String_Array); 
  521.    --  <dref>WstringSeq</dref> 
  522.  
  523.    --  ------------------------------------------------- 
  524.    --                 Time_t 
  525.    --  ------------------------------------------------- 
  526.  
  527.    type Time_T is record 
  528.       Sec     : Long_Long := 0; 
  529.       Nanosec : Unsigned_Long := 0; 
  530.    end record with Convention => C; 
  531.    --  <defgroup>TimeSupportGroupDocs</defgroup> 
  532.    --  <dref>Time_t</dref> 
  533.    --  <dref name="Sec">TimeStamp_sec</dref> 
  534.    --  <dref name="Nanosec">TimeStamp_nanosec</dref> 
  535.  
  536.  
  537.    function "<" (L : Time_T; R : Time_T) return Boolean; 
  538.  
  539.    function ">" (L : Time_T; R : Time_T) return Boolean; 
  540.  
  541.    function "<=" (L : Time_T; R : Time_T) return Boolean; 
  542.  
  543.    function ">=" (L : Time_T; R : Time_T) return Boolean; 
  544.  
  545.    function "+" (L : Time_T; R : Time_T) return Time_T with 
  546.      Obsolescent => "Time + Time Does not make sense, but duration + duration does !"; 
  547.  
  548.  
  549.    Time_Zero       : constant Time_T := (0, 0); 
  550.    --  <dref>Time_t_ZERO</dref> 
  551.  
  552.    TIME_INVALID_SEC : constant Long_Long      := -1; 
  553.    --  <dref>Time_t_INVALID_SEC</dref> 
  554.  
  555.    TIME_INVALID_NSEC : constant Unsigned_Long      := 4_294_967_295; 
  556.    --  <dref>Time_t_INVALID_NSEC</dref> 
  557.  
  558.    Time_Invalid    : constant Time_T := (TIME_INVALID_SEC, TIME_INVALID_NSEC); 
  559.    --  <dref>Time_t_INVALID</dref> 
  560.  
  561.    function Time_Is_Zero 
  562.      (T : Time_T) 
  563.       return Boolean; 
  564.    --  <dref>Time_t_is_zero</dref> 
  565.  
  566.    function Time_Is_Invalid 
  567.      (T : Time_T) 
  568.       return Boolean; 
  569.    --  <dref>Time_t_is_invalid</dref> 
  570.  
  571.    function To_Time (T : Time_T) return Ada.Calendar.Time; 
  572.    function To_Time (T : Time_T) return Ada.Real_Time.Time; 
  573.    function To_Time_T (T : Ada.Calendar.Time) return Time_T; 
  574.    function To_Time_T (T : Ada.Real_Time.Time) return Time_T; 
  575.  
  576.    --  ------------------------------------------------- 
  577.    --                 Duration_t 
  578.    --  ------------------------------------------------- 
  579.  
  580.    type Duration_T is record -- Default DURATION_ZERO 
  581.       Sec     : Long := 0; 
  582.       Nanosec : Unsigned_Long := 0; 
  583.    end record with 
  584.      Convention => C; 
  585.    --  <dref>Duration_t</dref> 
  586.    --  <dref name="Sec">TimeStamp_sec</dref> 
  587.    --  <dref name="Nanosec">TimeStamp_nanosec</dref> 
  588.  
  589.    DURATION_ZERO_SEC : constant Long := 0; 
  590.    --  <dref>Duration_t_ZERO_SEC</dref> 
  591.  
  592.    DURATION_ZERO_NSEC : constant Unsigned_Long := 0; 
  593.    --  <dref>Duration_t_ZERO_NSEC</dref> 
  594.  
  595.    DURATION_ZERO     : Duration_T := (DURATION_ZERO_SEC, DURATION_ZERO_NSEC); 
  596.    --  <dref>Duration_t_ZERO</dref> 
  597.  
  598.    DURATION_INFINITE_SEC : constant Long := 2_147_483_647; 
  599.    --  <dref>Duration_t_INFINITE_SEC</dref> 
  600.  
  601.    DURATION_INFINITE_NSEC : constant Unsigned_Long := 4_294_967_295; 
  602.    --  <dref>Duration_t_INFINITE_NSEC</dref> 
  603.  
  604.    DURATION_INFINITE : constant Duration_T := 
  605.                          (DURATION_INFINITE_SEC, DURATION_INFINITE_NSEC); 
  606.    --  <dref>Duration_t_INFINITE</dref> 
  607.  
  608.    DURATION_AUTO_SEC : constant Long := 2_1474_83_647; 
  609.    --  <dref>Duration_t_AUTO_SEC</dref> 
  610.  
  611.    DURATION_AUTO_NSEC : constant Unsigned_Long := 0; 
  612.    --  <dref>Duration_t_AUTO_NSEC</dref> 
  613.  
  614.    DURATION_AUTO     : constant Duration_T := 
  615.                          (DURATION_AUTO_SEC, DURATION_AUTO_NSEC); 
  616.    --  <dref>Duration_t_AUTO</dref> 
  617.  
  618.    --  <dref>Duration_t_is_zero</dref> 
  619.    function Duration_Is_Zero 
  620.      (D : Duration_T) 
  621.       return Boolean; 
  622.  
  623.    --  <dref>Duration_t_is_infinite</dref> 
  624.    function Duration_Is_Infinite 
  625.      (D : Duration_T) 
  626.       return Boolean; 
  627.  
  628.    --  <dref>Duration_t_is_auto</dref> 
  629.    function Duration_Is_Auto 
  630.      (D : Duration_T) 
  631.       return Boolean; 
  632.  
  633.    function To_Duration (D : Duration_T) return Standard.Duration; 
  634.    function To_Duration_T (D : Standard.Duration) return Duration_T; 
  635.    --  <internal> 
  636.    --  Converts a standard.Duration to Duration_T and will raise 
  637.    --  Constraint error if the conversion is impossible except for the 
  638.    --  Value Duration'Last that will return "DURATION_INFINITE". 
  639.    --  </internal> 
  640.  
  641.    function "+" (L : Time_T; R : Duration_T) return Time_T; 
  642.    function "+" (L : Duration_T; R : Time_T) return Time_T; 
  643.    function "-" (L : Time_T; R : Duration_T) return Time_T; 
  644.    function "+" (L : Duration_T; R : Duration_T) return Duration_T; 
  645.    function "-" (L : Duration_T; R : Duration_T) return Duration_T; 
  646.    function "<" (L : Duration_T; R : Duration_T) return Boolean; 
  647.    function ">" (L : Duration_T; R : Duration_T) return Boolean; 
  648.    function "-" (L : Time_T; R : Time_T) return Duration_T; 
  649.  
  650.  
  651.    --  ------------------------------------------------- 
  652.    --                 CompressionSettings_T 
  653.    --  ------------------------------------------------- 
  654.  
  655.    type CompressionSettings_T is record 
  656.       Compression_Ids              : aliased Unsigned_Long := 0; 
  657.       Writer_Compression_Level     : aliased Unsigned_Long := 10; 
  658.       Writer_Compression_Threshold : aliased Long := 0; 
  659.    end record with 
  660.      Convention => C; 
  661.    --  <defgroup>CompressionSettingsQosGroupDocs</defgroup> 
  662.    --  <dref>CompressionSettings_t</dref> 
  663.    --  <dref name="Compression_Ids">CompressionSettings_t_compression_ids</dref> 
  664.    --  <dref name="Writer_Compression_Level">CompressionSettings_t_writer_compression_level</dref> 
  665.    --  <dref name="Writer_Compression_Threshold">CompressionSettings_t_writer_compression_threshold</dref> 
  666.  
  667.    COMPRESSION_SETTINGS_T_DEFAULT : constant CompressionSettings_T := (others => <>); 
  668.    --  ------------------------------------------------- 
  669.    --                 InstanceHandle_t 
  670.    --  ------------------------------------------------- 
  671.  
  672.    type Builtin_Topic_Key_Type_Native is new Interfaces.Unsigned_32; 
  673.  
  674.    type InstanceHandle_T is new RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_InstanceHandle_t; 
  675.    pragma Compile_Time_Error (InstanceHandle_T'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_InstanceHandle_t'Size, "InstanceHandle_T size missmatch."); 
  676.  
  677.    Null_InstanceHandle_T : aliased constant InstanceHandle_T := InstanceHandle_T (RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_HANDLE_NIL); 
  678.    HANDLE_NIL      : aliased constant InstanceHandle_T := Null_InstanceHandle_T; 
  679.    --  <dref>InstanceHandle_t_NIL</dref> 
  680.  
  681.    type InstanceHandle_T_Access is access all InstanceHandle_T; 
  682.  
  683.    type InstanceHandle_T_Array is array (Natural range <>) of aliased InstanceHandle_T; 
  684.    procedure Initialize (Self  : in out InstanceHandle_T); 
  685.    procedure Finalize (Self  : in out InstanceHandle_T); 
  686.    procedure Copy (Dst : in out InstanceHandle_T; Src : in InstanceHandle_T); 
  687.    --    package InstanceHandle_Seq is new Ada.Containers.Vectors (Positive, InstanceHandle_T); 
  688.  
  689.    package InstanceHandle_Seq is new DDS_Support.Sequences_Generic 
  690.      (InstanceHandle_T, 
  691.       InstanceHandle_T_Access, 
  692.       DDS.Natural, 
  693.       1, 
  694.       InstanceHandle_T_Array); 
  695.    --  <dref>InstanceHandleSeq</dref> 
  696.  
  697.    --  <dref>InstanceHandle_t_equals</dref> 
  698.    function InstanceHandle_Equals 
  699.      (Self : not null access InstanceHandle_T; Other : not null access InstanceHandle_T) return Boolean; 
  700.  
  701.    function "=" (Self : not null access InstanceHandle_T; Other : not null access InstanceHandle_T) 
  702.                  return Boolean renames InstanceHandle_Equals; 
  703.  
  704.    --  <dref>InstanceHandle_t_is_nil</dref> 
  705.    function InstanceHandle_Is_Nil 
  706.      (Self : not null access InstanceHandle_T) return Boolean; 
  707.    --  ------------------------------------------------- 
  708.    --                 Guid_t 
  709.    --  ------------------------------------------------- 
  710.    subtype GUID_T_Value_Array is  Octet_Array (0 .. 15); 
  711.    type Guid_T is record 
  712.       Value : aliased GUID_T_Value_Array := (others => 0); 
  713.    end record with Convention => C; 
  714.    pragma Compile_Time_Error (DDS.Guid_T'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_GUID_t'Size, "DDS_GUID_t size mismatch"); 
  715.  
  716.    --  <defgroup>GUIDSupportGroupDocs</defgroup> 
  717.    --  <dref>GUID_t</dref> 
  718.    --  <dref name="Value">GUID_t_value</dref> 
  719.  
  720.    type Guid_T_Access is access all Guid_T; 
  721.  
  722.    GUID_AUTO : aliased constant Guid_T := (Value => (others => 0)); 
  723.    --  <dref>GUID_t_AUTO</dref> 
  724.  
  725.    GUID_UNKNOWN : Guid_T renames GUID_AUTO; 
  726.    --  <dref>GUID_t_UNKNOWN</dref> 
  727.  
  728.    function Hash (Handle : DDS.InstanceHandle_T) return Ada.Containers.Hash_Type; 
  729.    function Create (From : DDS.Guid_T) return DDS.InstanceHandle_T; 
  730.  
  731.    --  <dref>GUID_t_equals</dref> 
  732.    function Guid_Equals 
  733.      (Self  : not null Guid_T_Access; 
  734.       Other : not null Guid_T_Access) 
  735.       return Boolean; 
  736.  
  737.    --  <dref>GUID_t_compare</dref> 
  738.    function Guid_Compare 
  739.      (Self  : not null Guid_T_Access; 
  740.       Other : not null Guid_T_Access) 
  741.       return Boolean; 
  742.  
  743.    --  <dref>GUID_t_copy</dref> 
  744.    procedure Guid_Copy 
  745.      (Self  : Guid_T_Access; 
  746.       Other : Guid_T_Access); 
  747.  
  748.    pragma Import (C, Guid_Copy, "DDS_GUID_copy"); 
  749.  
  750.    procedure Guid_Print (Self   : Guid_T_Access; 
  751.                          Desc   : String; 
  752.                          Indent : Unsigned_Long); 
  753.  
  754.    pragma Import (C, Guid_Print, "DDS_GUID_print"); 
  755.  
  756.    procedure Guid_Zero (Self   : Guid_T_Access); 
  757.  
  758.    pragma Import (C, Guid_Zero, "DDS_GUID_zero"); 
  759.  
  760.    function Image (Item : Guid_T) return DDS.String; 
  761.    function Image (Item : Guid_T) return Standard.String; 
  762.  
  763.    function Value (Item : Standard.String) return Guid_T with 
  764.      Pre => (Item'Length = GUID_T_Value_Array'Length * 2); 
  765.  
  766.    --  ------------------------------------------------- 
  767.    --                 SequenceNumber_t 
  768.    --  ------------------------------------------------- 
  769.  
  770.    type SequenceNumber_T is record 
  771.       High : aliased DDS.Long := 0; 
  772.       Low  : aliased DDS.Unsigned_Long := 0; 
  773.    end record with 
  774.      Convention => C; 
  775.    --  <defgroup>SequenceNumberSupportGroupDocs</defgroup> 
  776.    --  <dref>SequenceNumber_t</dref> 
  777.    --  <dref name="High">SequenceNumber_t_high</dref> 
  778.    --  <dref name="Low">SequenceNumber_t_low</dref> 
  779.  
  780.    type SequenceNumber_T_Access is access all  SequenceNumber_T; 
  781.  
  782.    SEQUENCE_NUMBER_UNKNOWN : constant  SequenceNumber_T := (High => -1, Low =>  16#FFFF_FFFF#); 
  783.    --  <dref>SequenceNumber_t_UNKNOWN</dref> 
  784.  
  785.    SEQUENCE_NUMBER_ZERO    : constant  SequenceNumber_T := (High =>  0, Low =>  0); 
  786.    --  <dref>SequenceNumber_t_ZERO</dref> 
  787.  
  788.  
  789.    SEQUENCE_NUMBER_MAX     : constant  SequenceNumber_T := (High =>  2147483647, Low =>  16#FFFF_FFFF#); 
  790.    --  <dref>SequenceNumber_t_MAX</dref> 
  791.  
  792.    AUTO_SEQUENCE_NUMBER    : constant SequenceNumber_T := (High => -1, Low =>  16#FFFF_FFFF#); 
  793.    --  <dref>SequenceNumber_t_AUTO</dref> 
  794.  
  795.  
  796.    function "-" (L, R : SequenceNumber_T) return SequenceNumber_T; 
  797.    function "+" (L, R : SequenceNumber_T) return SequenceNumber_T; 
  798.    function ">" (L, R : SequenceNumber_T) return Boolean; 
  799.    function "<" (L, R : SequenceNumber_T) return Boolean; 
  800.  
  801.    procedure Increment (Item : in out SequenceNumber_T); 
  802.    procedure Decrement (Item : in out SequenceNumber_T); 
  803.    function Image (Item : SequenceNumber_T) return Standard.String; 
  804.  
  805.    --  ------------------------------------------------- 
  806.    --                 OriginalWriterInfo_t 
  807.    --  ------------------------------------------------- 
  808.  
  809.    type OriginalWriterInfo_T is record 
  810.       Writer_Guid     : Guid_T; 
  811.       Sequence_Number : SequenceNumber_T; 
  812.    end record with 
  813.      Convention => C; 
  814.    --  <dref internal="true"></dref> 
  815.  
  816.  
  817.    --  ------------------------------------------------- 
  818.    --                 ReturnCode_t 
  819.    --  ------------------------------------------------- 
  820.  
  821.    ERROR                   : exception; 
  822.    --  <defgroup>ReturnCodeGroupDocs</defgroup> 
  823.    --  <dref>ReturnCode_t</dref> 
  824.    --  <dref>ReturnCode_t_RETCODE_ERROR</dref> 
  825.  
  826.    UNSUPPORTED             : exception; 
  827.    --  <dref>ReturnCode_t_RETCODE_UNSUPPORTED</dref> 
  828.  
  829.    BAD_PARAMETER           : exception; 
  830.    --  <dref>ReturnCode_t_RETCODE_BAD_PARAMETER</dref> 
  831.  
  832.    PRECONDITION_NOT_MET    : exception; 
  833.    --  <dref>ReturnCode_t_RETCODE_PRECONDITION_NOT_MET</dref> 
  834.  
  835.    OUT_OF_RESOURCES        : exception; 
  836.    --  <dref>ReturnCode_t_RETCODE_OUT_OF_RESOURCES</dref> 
  837.  
  838.    NOT_ENABLED             : exception; 
  839.    --  <dref>ReturnCode_t_RETCODE_NOT_ENABLED</dref> 
  840.  
  841.    IMMUTABLE_POLICY        : exception; 
  842.    --  <dref>ReturnCode_t_RETCODE_IMMUTABLE_POLICY</dref> 
  843.  
  844.    INCONSISTENT_POLICY     : exception; 
  845.    --  <dref>ReturnCode_t_RETCODE_INCONSISTENT_POLICY</dref> 
  846.  
  847.    ALREADY_DELETED         : exception; 
  848.    --  <dref>ReturnCode_t_RETCODE_ALREADY_DELETED</dref> 
  849.  
  850.    TIMEOUT                 : exception; 
  851.    --  <dref>ReturnCode_t_RETCODE_TIMEOUT</dref> 
  852.  
  853.    NO_DATA                 : exception; 
  854.    --  <dref>ReturnCode_t_RETCODE_NO_DATA</dref> 
  855.  
  856.    ILLEGAL_OPERATION       : exception; 
  857.    --  <dref>ReturnCode_t_RETCODE_ILLEGAL_OPERATION</dref> 
  858.  
  859.    NOT_ALLOWED_BY_SECURITY : exception; 
  860.    --  <dref>ReturnCode_t_RETCODE_NOT_ALLOWED_BY_SECURITY</dref> 
  861.  
  862.    type ReturnCode_T is 
  863.      (RETCODE_OK, 
  864.       --  Successful return. 
  865.       RETCODE_ERROR, 
  866.       --  Generic, unspecified error. 
  867.  
  868.       RETCODE_UNSUPPORTED, 
  869.       --  Unsupported operation. Can only returned by operations that are unsupported. 
  870.       RETCODE_BAD_PARAMETER, 
  871.       RETCODE_PRECONDITION_NOT_MET, 
  872.       RETCODE_OUT_OF_RESOURCES, 
  873.       RETCODE_NOT_ENABLED, 
  874.       RETCODE_IMMUTABLE_POLICY, 
  875.       RETCODE_INCONSISTENT_POLICY, 
  876.       RETCODE_ALREADY_DELETED, 
  877.       RETCODE_TIMEOUT, 
  878.       RETCODE_NO_DATA, 
  879.       RETCODE_ILLEGAL_OPERATION, 
  880.       RETCODE_NOT_ALLOWED_BY_SECURITY 
  881.      ) with Default_Value => RETCODE_OK; 
  882.  
  883.    pragma Convention (C, ReturnCode_T); 
  884.  
  885.    procedure Ret_Code_To_Exception (Code : ReturnCode_T; Message : Standard.String := ""); 
  886.  
  887.    --  ------------------------------------------------- 
  888.    --                 Status Types 
  889.    --  ------------------------------------------------- 
  890.  
  891.    type StatusKind is new Unsigned_Long; 
  892.    --  <dref>StatusKind</dref> 
  893.  
  894.    INCONSISTENT_TOPIC_STATUS         : constant StatusKind := 2#0000_0000_0000_0001#; 
  895.    --  <dref>StatusKind_INCONSISTENT_TOPIC_STATUS</dref> 
  896.  
  897.    OFFERED_DEADLINE_MISSED_STATUS    : constant StatusKind := 2#0000_0000_0000_0010#; 
  898.    --  <dref>StatusKind_OFFERED_DEADLINE_MISSED_STATUS</dref> 
  899.  
  900.    REQUESTED_DEADLINE_MISSED_STATUS  : constant StatusKind := 2#0000_0000_0000_0100#; 
  901.    --  <dref>StatusKind_REQUESTED_DEADLINE_MISSED_STATUS</dref> 
  902.  
  903.    OFFERED_INCOMPATIBLE_QOS_STATUS   : constant StatusKind := 2#0000_0000_0010_0000#; 
  904.    --  <dref>StatusKind_OFFERED_INCOMPATIBLE_QOS_STATUS</dref> 
  905.  
  906.    REQUESTED_INCOMPATIBLE_QOS_STATUS : constant StatusKind := 2#0000_0000_0100_0000#; 
  907.    --  <dref>StatusKind_REQUESTED_INCOMPATIBLE_QOS_STATUS</dref> 
  908.  
  909.    SAMPLE_LOST_STATUS                : constant StatusKind := 2#0000_0000_1000_0000#; 
  910.    --  <dref>StatusKind_SAMPLE_LOST_STATUS</dref> 
  911.  
  912.    SAMPLE_REJECTED_STATUS            : constant StatusKind := 2#0000_0001_0000_0000#; 
  913.    --  <dref>StatusKind_SAMPLE_REJECTED_STATUS</dref> 
  914.  
  915.    DATA_ON_READERS_STATUS            : constant StatusKind := 2#0000_0010_0000_0000#; 
  916.    --  <dref>StatusKind_DATA_ON_READERS_STATUS</dref> 
  917.  
  918.    DATA_AVAILABLE_STATUS             : constant StatusKind := 2#0000_0100_0000_0000#; 
  919.    --  <dref>StatusKind_DATA_AVAILABLE_STATUS</dref> 
  920.  
  921.    LIVELINESS_LOST_STATUS            : constant StatusKind := 2#0000_1000_0000_0000#; 
  922.    --  <dref>StatusKind_LIVELINESS_LOST_STATUS</dref> 
  923.  
  924.    LIVELINESS_CHANGED_STATUS         : constant StatusKind := 2#0001_0000_0000_0000#; 
  925.    --  <dref>StatusKind_LIVELINESS_CHANGED_STATUS</dref> 
  926.  
  927.    PUBLICATION_MATCH_STATUS          : constant StatusKind := 2#0010_0000_0000_0000#; 
  928.    --  <dref>StatusKind_PUBLICATION_MATCHED_STATUS</dref> 
  929.  
  930.    SUBSCRIPTION_MATCH_STATUS         : constant StatusKind := 2#0100_0000_0000_0000#; 
  931.    --  <dref>StatusKind_SUBSCRIPTION_MATCHED_STATUS</dref> 
  932.    pragma Warnings (Off); 
  933.    function "+" (Left, Right : StatusKind) return StatusKind renames "or"; 
  934.    pragma Warnings (On); 
  935.  
  936.    --   /* --- Begin extended statuses --- */ 
  937.    --   /* Previously, the "right"-most 24 bits of the StatusMask were reserved 
  938.    --    * for standard statuses, with the remaining 8 bits for extended statuses. 
  939.    --    Now, as of 4.5b, with more than 8 extended statuses, and with no "official" 
  940.    --    documented requirement of having only 8 bits, additional bits are being 
  941.    --    designated for extended statuses. 
  942.    --    */ 
  943.  
  944.    DATA_WRITER_APPLICATION_ACKNOWLEDGMENT_STATUS : constant StatusKind := 
  945.                                                      2#0000_0000_0100_0000_0000_0000_0000_0000#; 
  946.    --  <dref>StatusKind_DATA_WRITER_APPLICATION_ACKNOWLEDGMENT_STATUS</dref> 
  947.  
  948.    DATA_WRITER_INSTANCE_REPLACED_STATUS       : constant StatusKind := 
  949.                                                   2#0000_0000_1000_0000_0000_0000_0000_0000#; 
  950.    --  <dref>StatusKind_DATA_WRITER_INSTANCE_REPLACED_STATUS</dref> 
  951.  
  952.    RELIABLE_WRITER_CACHE_CHANGED_STATUS       : constant StatusKind := 
  953.                                                   2#0000_0001_0000_0000_0000_0000_0000_0000#; 
  954.    --  <dref>StatusKind_RELIABLE_WRITER_CACHE_CHANGED_STATUS</dref> 
  955.  
  956.    RELIABLE_READER_ACTIVITY_CHANGED_STATUS    : constant StatusKind := 
  957.                                                   2#0000_0010_0000_0000_0000_0000_0000_0000#; 
  958.    --  <dref>StatusKind_RELIABLE_READER_ACTIVITY_CHANGED_STATUS</dref> 
  959.  
  960.    DATA_WRITER_CACHE_STATUS                   : constant StatusKind := 
  961.                                                   2#0000_0100_0000_0000_0000_0000_0000_0000#; 
  962.    --  <dref>StatusKind_DATA_WRITER_CACHE_STATUS</dref> 
  963.  
  964.    DATA_WRITER_PROTOCOL_STATUS                : constant StatusKind := 
  965.                                                   2#0000_1000_0000_0000_0000_0000_0000_0000#; 
  966.    --  <dref>StatusKind_DATA_WRITER_PROTOCOL_STATUS</dref> 
  967.  
  968.    DATA_READER_CACHE_STATUS                   : constant StatusKind := 
  969.                                                   2#0001_0000_0000_0000_0000_0000_0000_0000#; 
  970.    --  <dref>StatusKind_DATA_READER_CACHE_STATUS</dref> 
  971.  
  972.    DATA_READER_PROTOCOL_STATUS                : constant StatusKind := 
  973.                                                   2#0010_0000_0000_0000_0000_0000_0000_0000#; 
  974.    --  <dref>StatusKind_DATA_READER_PROTOCOL_STATUS</dref> 
  975.  
  976.    DATA_WRITER_DESTINATION_UNREACHABLE_STATUS : constant StatusKind := 
  977.                                                   2#0100_0000_0000_0000_0000_0000_0000_0000#; 
  978.    --  <dref internal="true"></dref> 
  979.  
  980.    DATA_WRITER_SAMPLE_REMOVED_STATUS          : constant StatusKind := 
  981.                                                   2#1000_0000_0000_0000_0000_0000_0000_0000#; 
  982.    --  <dref internal="true"></dref> 
  983.  
  984.    subtype StatusMask is StatusKind; 
  985.    --  <defgroup>StatusKindGroupDocs</defgroup> 
  986.    --  <dref>StatusMask</dref> 
  987.    --  <dref>Shared_status_mask_description</dref> 
  988.  
  989.    STATUS_MASK_NONE : constant StatusMask := 2#0000_0000_0000_0000_0000_0000_0000_0000#; 
  990.    --  <dref>STATUS_MASK_NONE</dref> 
  991.  
  992.    STATUS_MASK_ALL  : constant StatusMask := 2#1111_1111_1111_1111_1111_1111_1111_1111#; 
  993.    --  <dref>STATUS_MASK_ALL</dref> 
  994.  
  995.    type StatusKind_Access is access constant StatusKind; 
  996.  
  997.    --  ------------------------------------------------- 
  998.    --                 Thread Settings 
  999.    --  ------------------------------------------------- 
  1000.  
  1001.    type ThreadSettings is new Unsigned_Long; 
  1002.    --  <defgroup>ThreadSettingsGroupDocs</defgroup> 
  1003.  
  1004.    subtype ThreadSettingsKindMask is ThreadSettings; 
  1005.    --  <dref>ThreadSettingsKindMask</dref> 
  1006.  
  1007.    THREAD_SETTINGS_OPTION_DEFAULT             : constant := 16#00#; 
  1008.  
  1009.    THREAD_SETTINGS_OPTION_FLOATING_POINT      : constant := 16#01#; 
  1010.    --  <dref>ThreadSettingsKind</dref> 
  1011.    --  <dref>ThreadSettingsKind_THREAD_SETTINGS_FLOATING_POINT</dref> 
  1012.  
  1013.    THREAD_SETTINGS_OPTION_STDIO               : constant := 16#02#; 
  1014.    --  <dref>ThreadSettingsKind_THREAD_SETTINGS_STDIO</dref> 
  1015.  
  1016.    THREAD_SETTINGS_OPTION_REALTIME_PRIORITY   : constant := 16#08#; 
  1017.  
  1018.    --  <dref>ThreadSettingsKind_THREAD_SETTINGS_REALTIME_PRIORITY</dref> 
  1019.  
  1020.    THREAD_SETTINGS_OPTION_PRIORITY_ENFORCE    : constant := 16#10#; 
  1021.    --  <dref>ThreadSettingsKind_THREAD_SETTINGS_PRIORITY_ENFORCE</dref> 
  1022.  
  1023.    THREAD_SETTINGS_OPTION_CANCEL_ASYNCHRONOUS : constant := 16#20#; 
  1024.    --  <dref>ThreadSettingsKind_THREAD_SETTINGS_CANCEL_ASYNCHRONOUS</dref> 
  1025.  
  1026.    THREAD_SETTINGS_KIND_MASK_DEFAULT          : constant ThreadSettingsKindMask := 
  1027.                                                   THREAD_SETTINGS_OPTION_DEFAULT; 
  1028.    --  <dref>THREAD_SETTINGS_KIND_MASK_DEFAULT</dref> 
  1029.  
  1030.    type ThreadSettingsCpuRotationKind_T is new Unsigned_Long; 
  1031.    --  <dref>ThreadSettingsCpuRotationKind</dref> 
  1032.  
  1033.    THREAD_SETTINGS_CPU_NO_ROTATION            : constant ThreadSettingsCpuRotationKind_T := 0; 
  1034.    --  <dref>ThreadSettingsCpuRotationKind_THREAD_SETTINGS_CPU_NO_ROTATION</dref> 
  1035.  
  1036.    THREAD_SETTINGS_CPU_RR_ROTATION            : constant ThreadSettingsCpuRotationKind_T := 1; 
  1037.    --  <dref>ThreadSettingsCpuRotationKind_THREAD_SETTINGS_CPU_RR_ROTATION</dref> 
  1038.  
  1039.    THREAD_SETTINGS_CPU_ROTATION_DEFAULT       : constant ThreadSettingsCpuRotationKind_T := 
  1040.                                                   THREAD_SETTINGS_CPU_NO_ROTATION; 
  1041.    --  <dref internal="true"></dref> 
  1042.  
  1043.    type ThreadSettings_T is record 
  1044.       Mask         : aliased ThreadSettingsKindMask := THREAD_SETTINGS_OPTION_DEFAULT; 
  1045.       Priority     : aliased Long := -9999999; 
  1046.       Stack_Size   : aliased Long := -1; 
  1047.       Cpu_List     : aliased Long_Seq.Sequence; 
  1048.       Cpu_Rotation : aliased ThreadSettingsCpuRotationKind_T := THREAD_SETTINGS_CPU_ROTATION_DEFAULT; 
  1049.    end record with Convention => C; 
  1050.    --  <dref>ThreadSettings_t</dref> 
  1051.    --  <dref name="Mask">ThreadSettings_t_mask</dref> 
  1052.    --  <dref name="Priority">ThreadSettings_t_priority</dref> 
  1053.    --  <dref name="Stack_Size">ThreadSettings_t_stack_size</dref> 
  1054.    --  <dref name="Cpu_List">ThreadSettings_t_cpu_list</dref> 
  1055.    --  <dref name="Cpu_Rotation">ThreadSettings_t_cpu_rotation</dref> 
  1056.  
  1057.    type ThreadSettings_T_Access is access all  ThreadSettings_T; 
  1058.  
  1059.    procedure ThreadSettings_T_Get_Default (Self  : not null ThreadSettings_T_Access); 
  1060.  
  1061.    pragma Import (C, ThreadSettings_T_Get_Default, "DDS_ThreadSettings_get_default"); 
  1062.  
  1063.    function ThreadSettings_T_Is_Equal 
  1064.      (Self  : not null ThreadSettings_T_Access; 
  1065.       Other : not null ThreadSettings_T_Access) 
  1066.       return Boolean; 
  1067.  
  1068.    --  ------------------------------------------------- 
  1069.    --                 QoS Types 
  1070.    --  ------------------------------------------------- 
  1071.  
  1072.    type QosPolicyId_T is new Unsigned_Long; 
  1073.    --  <defgroup>QosPoliciesGroupDocs</defgroup> 
  1074.    --  <dref>QosPolicyId_t</dref> 
  1075.  
  1076.    QOS_POLICY_COUNT : constant Long := 63; 
  1077.    --  <dref>QOS_POLICY_COUNT</dref> 
  1078.  
  1079.    INVALID_QOS_POLICY_ID : constant QosPolicyId_T := 0; 
  1080.    --  <dref>QosPolicyId_t_INVALID_QOS_POLICY_ID</dref> 
  1081.  
  1082.    USERDATA_QOS_POLICY_ID : constant QosPolicyId_T := 1; 
  1083.    --  <dref>QosPolicyId_t_USERDATA_QOS_POLICY_ID</dref> 
  1084.  
  1085.    DURABILITY_QOS_POLICY_ID : constant QosPolicyId_T := 2; 
  1086.    --  <dref>QosPolicyId_t_DURABILITY_QOS_POLICY_ID</dref> 
  1087.  
  1088.    PRESENTATION_QOS_POLICY_ID : constant QosPolicyId_T := 3; 
  1089.    --  <dref>QosPolicyId_t_PRESENTATION_QOS_POLICY_ID</dref> 
  1090.  
  1091.    DEADLINE_QOS_POLICY_ID : constant QosPolicyId_T := 4; 
  1092.    --  <dref>QosPolicyId_t_DEADLINE_QOS_POLICY_ID</dref> 
  1093.  
  1094.    LATENCYBUDGET_QOS_POLICY_ID : constant QosPolicyId_T := 5; 
  1095.    --  <dref>QosPolicyId_t_LATENCYBUDGET_QOS_POLICY_ID</dref> 
  1096.  
  1097.    OWNERSHIP_QOS_POLICY_ID : constant QosPolicyId_T := 6; 
  1098.    --  <dref>QosPolicyId_t_OWNERSHIP_QOS_POLICY_ID</dref> 
  1099.  
  1100.    OWNERSHIPSTRENGTH_QOS_POLICY_ID : constant QosPolicyId_T := 7; 
  1101.    --  <dref>QosPolicyId_t_OWNERSHIPSTRENGTH_QOS_POLICY_ID</dref> 
  1102.  
  1103.    LIVELINESS_QOS_POLICY_ID : constant QosPolicyId_T := 8; 
  1104.    --  <dref>QosPolicyId_t_LIVELINESS_QOS_POLICY_ID</dref> 
  1105.  
  1106.    TIMEBASEDFILTER_QOS_POLICY_ID : constant QosPolicyId_T := 9; 
  1107.    --  <dref>QosPolicyId_t_TIMEBASEDFILTER_QOS_POLICY_ID</dref> 
  1108.  
  1109.    PARTITION_QOS_POLICY_ID : constant QosPolicyId_T := 10; 
  1110.    --  <dref>QosPolicyId_t_PARTITION_QOS_POLICY_ID</dref> 
  1111.  
  1112.    RELIABILITY_QOS_POLICY_ID : constant QosPolicyId_T := 11; 
  1113.    --  <dref>QosPolicyId_t_RELIABILITY_QOS_POLICY_ID</dref> 
  1114.  
  1115.    DESTINATIONORDER_QOS_POLICY_ID : constant QosPolicyId_T := 12; 
  1116.    --  <dref>QosPolicyId_t_DESTINATIONORDER_QOS_POLICY_ID</dref> 
  1117.  
  1118.    HISTORY_QOS_POLICY_ID : constant QosPolicyId_T := 13; 
  1119.    --  <dref>QosPolicyId_t_HISTORY_QOS_POLICY_ID</dref> 
  1120.  
  1121.    RESOURCELIMITS_QOS_POLICY_ID : constant QosPolicyId_T := 14; 
  1122.    --  <dref>QosPolicyId_t_RESOURCELIMITS_QOS_POLICY_ID</dref> 
  1123.  
  1124.    ENTITYFACTORY_QOS_POLICY_ID : constant QosPolicyId_T := 15; 
  1125.    --  <dref>QosPolicyId_t_ENTITYFACTORY_QOS_POLICY_ID</dref> 
  1126.  
  1127.    WRITERDATALIFECYCLE_QOS_POLICY_ID : constant QosPolicyId_T := 16; 
  1128.    --  <dref>QosPolicyId_t_WRITERDATALIFECYCLE_QOS_POLICY_ID</dref> 
  1129.  
  1130.    READERDATALIFECYCLE_QOS_POLICY_ID : constant QosPolicyId_T := 17; 
  1131.    --  <dref>QosPolicyId_t_READERDATALIFECYCLE_QOS_POLICY_ID</dref> 
  1132.  
  1133.    TOPICDATA_QOS_POLICY_ID : constant QosPolicyId_T := 18; 
  1134.    --  <dref>QosPolicyId_t_TOPICDATA_QOS_POLICY_ID</dref> 
  1135.  
  1136.    GROUPDATA_QOS_POLICY_ID : constant QosPolicyId_T := 19; 
  1137.    --  <dref>QosPolicyId_t_GROUPDATA_QOS_POLICY_ID</dref> 
  1138.  
  1139.    TRANSPORTPRIORITY_QOS_POLICY_ID : constant QosPolicyId_T := 20; 
  1140.    --  <dref>QosPolicyId_t_TRANSPORTPRIORITY_QOS_POLICY_ID</dref> 
  1141.  
  1142.    LIFESPAN_QOS_POLICY_ID : constant QosPolicyId_T := 21; 
  1143.    --  <dref>QosPolicyId_t_LIFESPAN_QOS_POLICY_ID</dref> 
  1144.  
  1145.    DURABILITYSERVICE_QOS_POLICY_ID : constant QosPolicyId_T := 22; 
  1146.    --  <dref>QosPolicyId_t_DURABILITYSERVICE_QOS_POLICY_ID</dref> 
  1147.  
  1148.    DATA_REPRESENTATION_QOS_POLICY_ID : constant QosPolicyId_T := 23; 
  1149.    --  <dref>QosPolicyId_t_DATA_REPRESENTATION_QOS_POLICY_ID</dref> 
  1150.  
  1151.    TYPE_CONSISTENCY_ENFORCEMENT_QOS_POLICY_ID : constant QosPolicyId_T := 24; 
  1152.    --  <dref>QosPolicyId_t_TYPE_CONSISTENCY_ENFORCEMENT_QOS_POLICY_ID</dref> 
  1153.  
  1154.    DATATAG_QOS_POLICY_ID : constant QosPolicyId_T := 25; 
  1155.    --  <dref>QosPolicyId_t_DATATAG_QOS_POLICY_ID</dref> 
  1156.  
  1157.    WIREPROTOCOL_QOS_POLICY_ID : constant QosPolicyId_T := 1000; 
  1158.    --  <dref>QosPolicyId_t_WIREPROTOCOL_QOS_POLICY_ID</dref> 
  1159.  
  1160.    DISCOVERY_QOS_POLICY_ID : constant QosPolicyId_T := 1001; 
  1161.    --  <dref>QosPolicyId_t_DISCOVERY_QOS_POLICY_ID</dref> 
  1162.  
  1163.    DATAREADERRESOURCELIMITS_QOS_POLICY_ID : constant QosPolicyId_T := 1003; 
  1164.    --  <dref>QosPolicyId_t_DATAREADERRESOURCELIMITS_QOS_POLICY_ID</dref> 
  1165.  
  1166.    DATAWRITERRESOURCELIMITS_QOS_POLICY_ID : constant QosPolicyId_T := 1004; 
  1167.    --  <dref>QosPolicyId_t_DATAWRITERRESOURCELIMITS_QOS_POLICY_ID</dref> 
  1168.  
  1169.    DATAREADERPROTOCOL_QOS_POLICY_ID : constant QosPolicyId_T := 1005; 
  1170.    --  <dref>QosPolicyId_t_DATAREADERPROTOCOL_QOS_POLICY_ID</dref> 
  1171.  
  1172.    DATAWRITERPROTOCOL_QOS_POLICY_ID : constant QosPolicyId_T := 1006; 
  1173.    --  <dref>QosPolicyId_t_DATAWRITERPROTOCOL_QOS_POLICY_ID</dref> 
  1174.  
  1175.    DOMAINPARTICIPANTRESOURCELIMITS_QOS_POLICY_ID : constant QosPolicyId_T := 1007; 
  1176.    --  <dref>QosPolicyId_t_DOMAINPARTICIPANTRESOURCELIMITS_QOS_POLICY_ID</dref> 
  1177.  
  1178.    EVENT_QOS_POLICY_ID : constant QosPolicyId_T := 1008; 
  1179.    --  <dref>QosPolicyId_t_EVENT_QOS_POLICY_ID</dref> 
  1180.  
  1181.    DATABASE_QOS_POLICY_ID : constant QosPolicyId_T := 1009; 
  1182.    --  <dref>QosPolicyId_t_DATABASE_QOS_POLICY_ID</dref> 
  1183.  
  1184.    RECEIVERPOOL_QOS_POLICY_ID : constant QosPolicyId_T := 1010; 
  1185.    --  <dref>QosPolicyId_t_RECEIVERPOOL_QOS_POLICY_ID</dref> 
  1186.  
  1187.    DISCOVERYCONFIG_QOS_POLICY_ID : constant QosPolicyId_T := 1011; 
  1188.    --  <dref>QosPolicyId_t_DISCOVERYCONFIG_QOS_POLICY_ID</dref> 
  1189.  
  1190.    EXCLUSIVEAREA_QOS_POLICY_ID : constant QosPolicyId_T := 1012; 
  1191.    --  <dref internal="true">QosPolicyId_t_EXCLUSIVEAREA_QOS_POLICY_ID</dref> 
  1192.  
  1193.    USEROBJECT_QOS_POLICY_ID : constant QosPolicyId_T := 1013; 
  1194.    --  <dref internal="true">QosPolicyId_t_USEROBJECT_QOS_POLICY_ID</dref> 
  1195.  
  1196.    SYSTEMRESOURCELIMITS_QOS_POLICY_ID : constant QosPolicyId_T := 1014; 
  1197.    --  <dref>QosPolicyId_t_SYSTEMRESOURCELIMITS_QOS_POLICY_ID</dref> 
  1198.  
  1199.    TRANSPORTSELECTION_QOS_POLICY_ID : constant QosPolicyId_T := 1015; 
  1200.    --  <dref>QosPolicyId_t_TRANSPORTSELECTION_QOS_POLICY_ID</dref> 
  1201.  
  1202.    TRANSPORTUNICAST_QOS_POLICY_ID : constant QosPolicyId_T := 1016; 
  1203.    --  <dref>QosPolicyId_t_TRANSPORTUNICAST_QOS_POLICY_ID</dref> 
  1204.  
  1205.    TRANSPORTMULTICAST_QOS_POLICY_ID : constant QosPolicyId_T := 1017; 
  1206.    --  <dref>QosPolicyId_t_TRANSPORTMULTICAST_QOS_POLICY_ID</dref> 
  1207.  
  1208.    TRANSPORTBUILTIN_QOS_POLICY_ID : constant QosPolicyId_T := 1018; 
  1209.    --  <dref>QosPolicyId_t_TRANSPORTBUILTIN_QOS_POLICY_ID</dref> 
  1210.  
  1211.    TYPESUPPORT_QOS_POLICY_ID : constant QosPolicyId_T := 1019; 
  1212.    --  <dref>QosPolicyId_t_TYPESUPPORT_QOS_POLICY_ID</dref> 
  1213.  
  1214.    PROPERTY_QOS_POLICY_ID : constant QosPolicyId_T := 1020; 
  1215.    --  <dref>QosPolicyId_t_PROPERTY_QOS_POLICY_ID</dref> 
  1216.  
  1217.    PUBLISHMODE_QOS_POLICY_ID : constant QosPolicyId_T := 1021; 
  1218.    --  <dref>QosPolicyId_t_PUBLISHMODE_QOS_POLICY_ID</dref> 
  1219.  
  1220.    ASYNCHRONOUSPUBLISHER_QOS_POLICY_ID : constant QosPolicyId_T := 1022; 
  1221.    --  <dref>QosPolicyId_t_ASYNCHRONOUSPUBLISHER_QOS_POLICY_ID</dref> 
  1222.  
  1223.    ENTITYNAME_QOS_POLICY_ID : constant QosPolicyId_T := 1023; 
  1224.    --  <dref>QosPolicyId_t_ENTITYNAME_QOS_POLICY_ID</dref> 
  1225.  
  1226.    SERVICE_QOS_POLICY_ID : constant QosPolicyId_T := 1025; 
  1227.    --  <dref internal="true"></dref> 
  1228.  
  1229.    BATCH_QOS_POLICY_ID : constant QosPolicyId_T := 1026; 
  1230.    --  <dref>QosPolicyId_t_BATCH_QOS_POLICY_ID</dref> 
  1231.  
  1232.    PROFILE_QOS_POLICY_ID : constant QosPolicyId_T := 1027; 
  1233.    --  <dref>QosPolicyId_t_PROFILE_QOS_POLICY_ID</dref> 
  1234.  
  1235.    LOCATORFILTER_QOS_POLICY_ID : constant QosPolicyId_T := 1028; 
  1236.    --  <dref>QosPolicyId_t_LOCATORFILTER_QOS_POLICY_ID</dref> 
  1237.  
  1238.    MULTICHANNEL_QOS_POLICY_ID : constant QosPolicyId_T := 1029; 
  1239.    --  <dref>QosPolicyId_t_MULTICHANNEL_QOS_POLICY_ID</dref> 
  1240.  
  1241.    TRANSPORTENCAPSULATION_QOS_POLICY_ID : constant QosPolicyId_T := 1030; 
  1242.    --  <dref internal="true"></dref> 
  1243.  
  1244.    PUBLISHERPROTOCOL_QOS_POLICY_ID : constant QosPolicyId_T := 1031; 
  1245.    --  <dref internal="true"></dref> 
  1246.  
  1247.    SUBSCRIBERPROTOCOL_QOS_POLICY_ID : constant QosPolicyId_T := 1032; 
  1248.    --  <dref internal="true"></dref> 
  1249.  
  1250.    TOPICPROTOCOL_QOS_POLICY_ID : constant QosPolicyId_T := 1033; 
  1251.    --  <dref internal="true"></dref> 
  1252.  
  1253.    DOMAINPARTICIPANTPROTOCOL_QOS_POLICY_ID : constant QosPolicyId_T := 1034; 
  1254.    --  <dref internal="true"></dref> 
  1255.  
  1256.    AVAILABILITY_QOS_POLICY_ID : constant QosPolicyId_T := 1035; 
  1257.    --  <dref>QosPolicyId_t_AVAILABILITY_QOS_POLICY_ID</dref> 
  1258.  
  1259.    TRANSPORTMULTICASTMAPPING_QOS_POLICY_ID : constant QosPolicyId_T := 1036; 
  1260.    --  QosPolicyId_t_TRANSPORTMULTICASTMAPPING_QOS_POLICY_ID -- documentation removed in ifdoc 
  1261.  
  1262.    LOGGING_QOS_POLICY_ID : constant QosPolicyId_T := 1037; 
  1263.    --  <dref>QosPolicyId_t_LOGGING_QOS_POLICY_ID</dref> 
  1264.  
  1265.    TOPICQUERYDISPATCH_QOS_POLICY_ID : constant QosPolicyId_T := 1038; 
  1266.    --  <dref>QosPolicyId_t_TOPICQUERYDISPATCH_QOS_POLICY_ID</dref> 
  1267.  
  1268.    DATAWRITERTRANSFERMODE_QOS_POLICY_ID : constant QosPolicyId_T := 1039; 
  1269.    --  <dref>QosPolicyId_t_DATAWRITERTRANSFERMODE_QOS_POLICY_ID</dref> 
  1270.  
  1271.    type QosPolicyCount is record 
  1272.       Policy_Id : aliased QosPolicyId_T := INVALID_QOS_POLICY_ID; 
  1273.       Count     : aliased Long := 0; 
  1274.    end record with Convention => C; 
  1275.    pragma Compile_Time_Error (QosPolicyCount'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_QosPolicyCount'Size, "QosPolicyCount size missmatch."); 
  1276.  
  1277.    --  <dref>QosPolicyCount</dref> 
  1278.    --  <dref name="Policy_Id">QosPolicyCount_policy_id</dref> 
  1279.    --  <dref name="Count">QosPolicyCount_count</dref> 
  1280.  
  1281.    type QosPolicyCount_Access is access all QosPolicyCount; 
  1282.  
  1283.    type QosPolicyCount_Array is array (Natural range <>) of aliased QosPolicyCount; 
  1284.    procedure Initialize (Self  : in out QosPolicyCount); 
  1285.    procedure Finalize (Self  : in out QosPolicyCount); 
  1286.    procedure Copy (Dst : in out QosPolicyCount; Src : in QosPolicyCount); 
  1287.  
  1288.    package QosPolicyCount_Seq is new DDS_Support.Sequences_Generic 
  1289.      (QosPolicyCount, 
  1290.       QosPolicyCount_Access, 
  1291.       DDS.Natural, 
  1292.       1, 
  1293.       QosPolicyCount_Array); 
  1294.    --  <dref>QosPolicyCountSeq</dref> 
  1295.  
  1296.    --  ------------------------------------------------- 
  1297.    --                 Entity Types 
  1298.    --  ------------------------------------------------- 
  1299.  
  1300.    type EntityKind_T is (UNKNOWN_ENTITY_KIND, 
  1301.                          PARTICIPANT_ENTITY_KIND, 
  1302.                          PUBLISHER_ENTITY_KIND, 
  1303.                          SUBSCRIBER_ENTITY_KIND, 
  1304.                          TOPIC_ENTITY_KIND, 
  1305.                          DATAREADER_ENTITY_KIND, 
  1306.                          DATAWRITER_ENTITY_KIND); 
  1307.    pragma Annotate (EntityKind_T, Source, RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_EntityKind_t); 
  1308.  
  1309.    --  ------------------------------------------------- 
  1310.    --                 USER_DATA 
  1311.    --  ------------------------------------------------- 
  1312.  
  1313.    USERDATA_QOS_POLICY_NAME : constant DDS.String := To_DDS_String ("UserData"); 
  1314.    --  <defgroup>UserDataQosGroupDocs</defgroup> 
  1315.    --  <dref>USERDATA_QOS_POLICY_NAME</dref> 
  1316.  
  1317.    type UserDataQosPolicy is limited record 
  1318.       Value : aliased Octet_Seq.Sequence; 
  1319.    end record with 
  1320.      Convention => C; 
  1321.    --  <dref>UserDataQosPolicy</dref> 
  1322.    --  <dref name="Value">UserDataQosPolicy_value</dref> 
  1323.  
  1324.    --     USER_DATA_QOS_POLICY_DEFAULT : constant UserDataQosPolicy := 
  1325.    --                                      (Value => Octet_Seq.DEFAULT_SEQUENCE); 
  1326.  
  1327.    --  ------------------------------------------------- 
  1328.    --                 TOPIC_DATA 
  1329.    --  ------------------------------------------------- 
  1330.  
  1331.    TOPICDATA_QOS_POLICY_NAME : constant DDS.String := To_DDS_String ("TopicData"); 
  1332.    --  <defgroup>TopicDataQosGroupDocs</defgroup> 
  1333.    --  <dref>TOPICDATA_QOS_POLICY_NAME</dref> 
  1334.  
  1335.  
  1336.    type TopicDataQosPolicy is limited record 
  1337.       Value : aliased Octet_Seq.Sequence; 
  1338.    end record with Convention => C; 
  1339.    pragma Compile_Time_Error (TopicDataQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_TopicDataQosPolicy'Size, "TopicDataQosPolicy Size missmatch"); 
  1340.    --  <dref>TopicDataQosPolicy</dref> 
  1341.    --  <dref name="Value">TopicDataQosPolicy_value</dref> 
  1342.  
  1343.    --     TOPIC_DATA_QOS_POLICY_DEFAULT : constant TopicDataQosPolicy := 
  1344.    --                                       (Value => Octet_Seq.DEFAULT_SEQUENCE); 
  1345.  
  1346.    --  ------------------------------------------------- 
  1347.    --                 DATA_TAGS 
  1348.    --  ------------------------------------------------- 
  1349.  
  1350.    --  <defgroup>DataTagQosGroupDocs</defgroup> 
  1351.    type Tags_T is limited record 
  1352.       Name  : aliased DDS.String; 
  1353.       Value : aliased DDS.String; 
  1354.    end record with Convention => C; 
  1355.    --  <dref>Tags</dref> 
  1356.    --  <dref name="Name">Tags_T_name</dref> 
  1357.    --  <dref name="Value">Tags_T_value</dref> 
  1358.  
  1359.    type Tags_T_Access is access all Tags_T with Convention => C; 
  1360.    type Tags_T_Array is array 
  1361.      (Natural range <>) of aliased Tags_T; 
  1362.    procedure Initialize (Self  : in out Tags_T); 
  1363.    procedure Finalize (Self  : in out Tags_T); 
  1364.    procedure Copy (Dst : in out Tags_T; 
  1365.                    Src : in Tags_T); 
  1366.  
  1367.    package Tags_Seq is new DDS_Support.Sequences_Generic 
  1368.      (Tags_T, 
  1369.       Tags_T_Access, 
  1370.       DDS.Natural, 
  1371.       1, 
  1372.       Tags_T_Array); 
  1373.    --  <dref>TagSeq</dref> 
  1374.  
  1375.    type DataTagQosPolicy is limited record 
  1376.       Value : aliased Tags_Seq.Sequence; 
  1377.    end record with Convention => C; 
  1378.    --  <dref>DataTagQosPolicy</dref> 
  1379.    --  <dref name="Value">DataTags_tags</dref> 
  1380.  
  1381.    function Lookup_Tag 
  1382.      (Policy : in DataTagQosPolicy; 
  1383.       Name   : in DDS.String) return Tags_T_Access; 
  1384.    --  <dref name="lookup_tag">DataTagQosPolicyHelper_lookup_tag</dref> 
  1385.  
  1386.    procedure Assert_Tag 
  1387.      (Policy : in DataTagQosPolicy; 
  1388.       Name   : in DDS.String; 
  1389.       Value  : in DDS.String); 
  1390.    --  <dref name="assert_tag">DataTagQosPolicyHelper_assert_tag</dref> 
  1391.  
  1392.    procedure Add_Tag 
  1393.      (Policy : in DataTagQosPolicy; 
  1394.       Name   : in DDS.String; 
  1395.       Value  : in DDS.String); 
  1396.    --  <dref name="add_tag">DataTagQosPolicyHelper_add_tag</dref> 
  1397.  
  1398.    procedure Remove_Tag 
  1399.      (Policy : in DataTagQosPolicy; 
  1400.       Name   : in DDS.String); 
  1401.    --  <dref name="remove_tag">DataTagQosPolicyHelper_remove_tag</dref> 
  1402.  
  1403.    function Get_Number_Of_Tags 
  1404.      (Policy : in DataTagQosPolicy) return DDS.Long; 
  1405.    --  <dref name="get_number_of_tags">DataTagQosPolicyHelper_get_number_of_tags</dref> 
  1406.  
  1407.    --  ------------------------------------------------- 
  1408.    --                 GROUP_DATA 
  1409.    --  ------------------------------------------------- 
  1410.  
  1411.    GROUPDATA_QOS_POLICY_NAME : constant DDS.String := To_DDS_String ("GroupData"); 
  1412.    --  <defgroup>GroupDataQosGroupDocs</defgroup> 
  1413.    --  <dref>GROUPDATA_QOS_POLICY_NAME</dref> 
  1414.  
  1415.    type GroupDataQosPolicy is limited record 
  1416.       Value : aliased Octet_Seq.Sequence; 
  1417.    end record with 
  1418.      Convention => C; 
  1419.    --  <dref>GroupDataQosPolicy</dref> 
  1420.    --  <dref name="Value">GroupDataQosPolicy_value</dref> 
  1421.  
  1422.    --     GROUP_DATA_QOS_POLICY_DEFAULT : constant GroupDataQosPolicy := 
  1423.    --                                       (Value => Octet_Seq.DEFAULT_SEQUENCE); 
  1424.  
  1425.    --  ------------------------------------------------- 
  1426.    --                 TOPIC_PROTOCOL (eXtension QoS) 
  1427.    --  ------------------------------------------------- 
  1428.  
  1429.    TOPICPROTOCOL_QOS_POLICY_NAME : constant DDS.String := To_DDS_String ("TopicProtocol"); 
  1430.    --  <dref internal="true"></dref> 
  1431.  
  1432.  
  1433.    type TopicProtocolQosPolicy is limited record 
  1434.       Vendor_Specific_Entity : Boolean := False; 
  1435.    end record with Convention => C; 
  1436.    pragma Compile_Time_Error (TopicProtocolQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_TopicProtocolQosPolicy'Size, "TopicProtocolQosPolicy size missmatch."); 
  1437.  
  1438.    --  <dref internal="true"></dref> 
  1439.  
  1440.    TOPIC_PROTOCOL_QOS_POLICY_DEFAULT : constant TopicProtocolQosPolicy := 
  1441.                                          (Vendor_Specific_Entity => False); 
  1442.    --  <dref internal="true"></dref> 
  1443.  
  1444.    --  ---------------------------------------------------------- 
  1445.    --                 DOMAIN_PARTICIPANT_PROTOCOL (eXtension QoS) 
  1446.    --  ---------------------------------------------------------- 
  1447.  
  1448.    DOMAINPARTICIPANTPROTOCOL_QOS_POLICY_NAME : constant DDS.String := To_DDS_String ("DomainParticipantProtocol"); 
  1449.    --  <dref internal="true"></dref> 
  1450.  
  1451.    type DomainParticipantProtocolQosPolicy is limited record 
  1452.       Vendor_Specific_Entity : Boolean := False; 
  1453.    end record with 
  1454.      Convention => C; 
  1455.    --  <dref internal="true"></dref> 
  1456.  
  1457.    DOMAIN_PARTICIPANT_PROTOCOL_QOS_POLICY_DEFAULT : constant DomainParticipantProtocolQosPolicy := 
  1458.                                                       (Vendor_Specific_Entity => False); 
  1459.    --  <dref internal="true"></dref> 
  1460.  
  1461.    --  ------------------------------------------------- 
  1462.    --                 DURABILITY 
  1463.    --  ------------------------------------------------- 
  1464.  
  1465.    BUFFER_POOL_UNLIMITED : constant := RTIDDS.Low_Level.ndds_reda_reda_fastBuffer_h.REDA_FAST_BUFFER_POOL_UNLIMITED; 
  1466.  
  1467.    BUFFER_POOL_AUTO : constant := RTIDDS.Low_Level.ndds_reda_reda_fastBuffer_h.REDA_FAST_BUFFER_POOL_AUTO; 
  1468.  
  1469.    BUFFER_POOL_BUFFER_SIZE_AUTO : constant := RTIDDS.Low_Level.ndds_reda_reda_fastBuffer_h.REDA_FAST_BUFFER_POOL_BUFFER_SIZE_AUTO; 
  1470.  
  1471.    type AllocationSettings_T is record 
  1472.       Initial_Count     : aliased Long := BUFFER_POOL_BUFFER_SIZE_AUTO; 
  1473.       Max_Count         : aliased Long := BUFFER_POOL_BUFFER_SIZE_AUTO; 
  1474.       Incremental_Count : aliased Long := BUFFER_POOL_BUFFER_SIZE_AUTO; 
  1475.    end record with Convention => C; 
  1476.    pragma Compile_Time_Error (AllocationSettings_T'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_AllocationSettings_t'Size, "AllocationSettings_T size missmatch"); 
  1477.    --  <defgroup>ExtendedQosSupportGroupDocs</defgroup> 
  1478.    --  <dref>AllocationSettings_t</dref> 
  1479.    --  <dref name="Initial_Count">AllocationSettings_t_initial_count</dref> 
  1480.    --  <dref name="Max_Count">AllocationSettings_t_max_count</dref> 
  1481.    --  <dref name="Incremental_Count">AllocationSettings_t_incremental_count</dref> 
  1482.  
  1483.    AllocationSettings_T_AUTO : constant AllocationSettings_T := (others => <>); 
  1484.  
  1485.    type  AllocationSettings_T_Access is access all  AllocationSettings_T; 
  1486.  
  1487.    function "+" (Left, Right : aliased AllocationSettings_T) return AllocationSettings_T; 
  1488.  
  1489.  
  1490.    DURABILITY_QOS_POLICY_NAME : constant DDS.String := To_DDS_String ("Durability"); 
  1491.    --  <defgroup>DurabilityQosGroupDocs</defgroup> 
  1492.    --  <dref>DURABILITY_QOS_POLICY_NAME</dref> 
  1493.  
  1494.    type DurabilityQosPolicyKind is new Unsigned_Long; 
  1495.    --  <dref>DurabilityQosPolicyKind</dref> 
  1496.  
  1497.    VOLATILE_DURABILITY_QOS : constant DurabilityQosPolicyKind := 0; 
  1498.    --  <dref>DurabilityQosPolicyKind_VOLATILE_DURABILITY_QOS</dref> 
  1499.  
  1500.    TRANSIENT_LOCAL_DURABILITY_QOS : constant DurabilityQosPolicyKind := 1; 
  1501.    --  <dref>DurabilityQosPolicyKind_TRANSIENT_LOCAL_DURABILITY_QOS</dref> 
  1502.  
  1503.    TRANSIENT_DURABILITY_QOS : constant DurabilityQosPolicyKind := 2; 
  1504.    --  <dref>DurabilityQosPolicyKind_TRANSIENT_DURABILITY_QOS</dref> 
  1505.  
  1506.    PERSISTENT_DURABILITY_QOS : constant DurabilityQosPolicyKind := 3; 
  1507.    --  <dref>DurabilityQosPolicyKind_PERSISTENT_DURABILITY_QOS</dref> 
  1508.  
  1509.    type PersistentJournalKind is new Unsigned_Long; 
  1510.    --  <dref>PersistentJournalKind</dref> 
  1511.  
  1512.    DELETE_PERSISTENT_JOURNAL : constant PersistentJournalKind := 0; 
  1513.    --  <dref>PersistentJournalKind_DELETE_PERSISTENT_JOURNAL</dref> 
  1514.  
  1515.    TRUNCATE_PERSISTENT_JOURNAL : constant PersistentJournalKind := 1; 
  1516.    --  <dref>PersistentJournalKind_TRUNCATE_PERSISTENT_JOURNAL</dref> 
  1517.  
  1518.    PERSIST_PERSISTENT_JOURNAL : constant PersistentJournalKind := 2; 
  1519.    --  <dref>PersistentJournalKind_PERSIST_PERSISTENT_JOURNAL</dref> 
  1520.  
  1521.    MEMORY_PERSISTENT_JOURNAL : constant PersistentJournalKind := 3; 
  1522.    --  <dref>PersistentJournalKind_MEMORY_PERSISTENT_JOURNAL</dref> 
  1523.  
  1524.    WAL_PERSISTENT_JOURNAL : constant PersistentJournalKind := 4; 
  1525.    --  <dref>PersistentJournalKind_WAL_PERSISTENT_JOURNAL</dref> 
  1526.  
  1527.    OFF_PERSISTENT_JOURNAL : constant PersistentJournalKind := 5; 
  1528.    --  <dref>PersistentJournalKind_OFF_PERSISTENT_JOURNAL</dref> 
  1529.  
  1530.    type PersistentSynchronizationKind is new Unsigned_Long; 
  1531.    --  <dref>PersistentSynchronizationKind</dref> 
  1532.  
  1533.    NORMAL_PERSISTENT_SYNCHRONIZATION : constant PersistentSynchronizationKind := 0; 
  1534.    --  <dref internal="true">PersistentSynchronizationKind_</dref> 
  1535.  
  1536.    FULL_PERSISTENT_SYNCHRONIZATION : constant PersistentSynchronizationKind := 1; 
  1537.    --  <dref internal="true">PersistentSynchronizationKind_</dref> 
  1538.  
  1539.    OFF_PERSISTENT_SYNCHRONIZATION : constant PersistentSynchronizationKind := 2; 
  1540.    --  <dref internal="true">PersistentSynchronizationKind_</dref> 
  1541.  
  1542.    type PersistentStorageSettings is record 
  1543.       Enable                           : aliased DDS.Boolean := False; 
  1544.       File_Name                        : aliased DDS.String; 
  1545.       Trace_File_Name                  : aliased DDS.String; 
  1546.       Journal_Kind                     : aliased PersistentJournalKind := WAL_PERSISTENT_JOURNAL; 
  1547.       Synchronization_Kind             : aliased PersistentSynchronizationKind := NORMAL_PERSISTENT_SYNCHRONIZATION; 
  1548.       Vacuum                           : aliased DDS.Boolean := True; 
  1549.       Restore                          : aliased DDS.Boolean := True; 
  1550.       Writer_Instance_Cache_Allocation : aliased AllocationSettings_T := (-2, -2, -1); 
  1551.       Writer_Sample_Cache_Allocation   : aliased AllocationSettings_T := (32, 32, -2); 
  1552.       Writer_Memory_State              : aliased DDS.Boolean := True; 
  1553.       Reader_Checkpoint_Frequency      : aliased Unsigned_Long := 1; 
  1554.    end record with Convention => C; 
  1555.    pragma Compile_Time_Error (PersistentStorageSettings'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_PersistentStorageSettings'Size, "PersistentStorageSettings size missmatch."); 
  1556.  
  1557.    PERSISTENT_STORAGE_SETTINGS_DEFAULT : constant PersistentStorageSettings := (others => <>); 
  1558.  
  1559.    --  <dref>PersistentStorageSettings</dref> 
  1560.    --  <dref name="Enable">PersistentStorageSettings_enable</dref> 
  1561.    --  <dref name="File_Name">PersistentStorageSettings_file_name</dref> 
  1562.    --  <dref name="Trace_File_Name">PersistentStorageSettings_trace_file_name</dref> 
  1563.    --  <dref name="Journal_Kind">PersistentStorageSettings_journal_kind</dref> 
  1564.    --  <dref name="Synchronization_Kind">PersistentStorageSettings_synchronization_kind</dref> 
  1565.    --  <dref name="Vacuum">PersistentStorageSettings_vacuum</dref> 
  1566.    --  <dref name="Restore">PersistentStorageSettings_restore</dref> 
  1567.    --  <dref name="Writer_Instance_Cache_Allocation">PersistentStorageSettings_writer_instance_cache_allocation</dref> 
  1568.    --  <dref name="Writer_Sample_Cache_Allocation">PersistentStorageSettings_writer_sample_cache_allocation</dref> 
  1569.    --  <dref name="Writer_Memory_State">PersistentStorageSettings_writer_memory_state</dref> 
  1570.    --  <dref name="Reader_Checkpoint_Frequency">PersistentStorageSettings_reader_checkpoint_frequency</dref> 
  1571.  
  1572.    type DurabilityQosPolicy is record 
  1573.       Kind                 : aliased DurabilityQosPolicyKind := VOLATILE_DURABILITY_QOS; 
  1574.       Direct_Communication : aliased DDS.Boolean := True; 
  1575.       Writer_Depth         : aliased DDS.Long := 0; 
  1576.       Storage_Settings     : aliased PersistentStorageSettings; 
  1577.    end record with Convention => C; 
  1578.  
  1579.    function "=" (Left, Right : DurabilityQosPolicy) return Standard.Boolean; 
  1580.  
  1581.    pragma Compile_Time_Error (DurabilityQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_DurabilityQosPolicy'Size, "DurabilityQosPolicy size missmatch."); 
  1582.  
  1583.    --  <dref>DurabilityQosPolicy</dref> 
  1584.    --  <dref name="Kind">DurabilityQosPolicy_kind</dref> 
  1585.    --  <dref name="Direct_Communication">DurabilityQosPolicy_direct_communication</dref> 
  1586.    --  <dref name="Writer_Depth">DurabilityQosPolicy_writer_depth</dref> 
  1587.    --  <dref name="Storage_Settings">DurabilityQosPolicy_storage_settings</dref> 
  1588.  
  1589.    type DurabilityQosPolicy_Access is access all DurabilityQosPolicy; 
  1590.  
  1591.    DURABILITY_QOS_POLICY_DEFAULT : constant DurabilityQosPolicy := (others => <>); 
  1592.    --  <dref internal="true"></dref> 
  1593.  
  1594.    --  ------------------------------------------------- 
  1595.    --                 PRESENTATION 
  1596.    --  ------------------------------------------------- 
  1597.  
  1598.    PRESENTATION_QOS_POLICY_NAME  : constant DDS.String := To_DDS_String ("Presentation"); 
  1599.    --  <defgroup>PresentationQosGroupDocs</defgroup> 
  1600.    --  <dref>PRESENTATION_QOS_POLICY_NAME</dref> 
  1601.  
  1602.    type PresentationQosPolicyAccessScopeKind is new Unsigned_Long; 
  1603.    --  <dref>PresentationQosPolicyAccessScopeKind</dref> 
  1604.  
  1605.    INSTANCE_PRESENTATION_QOS : constant PresentationQosPolicyAccessScopeKind := 0; 
  1606.    --  <dref>PresentationQosPolicyAccessScopeKind_INSTANCE_PRESENTATION_QOS</dref> 
  1607.  
  1608.    TOPIC_PRESENTATION_QOS : constant PresentationQosPolicyAccessScopeKind := 1; 
  1609.    --  <dref>PresentationQosPolicyAccessScopeKind_TOPIC_PRESENTATION_QOS</dref> 
  1610.  
  1611.    GROUP_PRESENTATION_QOS : constant PresentationQosPolicyAccessScopeKind := 2; 
  1612.    --  <dref>PresentationQosPolicyAccessScopeKind_GROUP_PRESENTATION_QOS</dref> 
  1613.  
  1614.    HIGHEST_OFFERED_PRESENTATION_QOS : constant PresentationQosPolicyAccessScopeKind := 3; 
  1615.    --  <dref>PresentationQosPolicyAccessScopeKind_HIGHEST_OFFERED_PRESENTATION_QOS</dref> 
  1616.  
  1617.    type PresentationQosPolicy  is record 
  1618.       Access_Scope    : aliased PresentationQosPolicyAccessScopeKind := INSTANCE_PRESENTATION_QOS; 
  1619.       Coherent_Access : aliased DDS.Boolean := False; 
  1620.       Ordered_Access  : aliased DDS.Boolean := False; 
  1621.    end record with Convention => C; 
  1622.    pragma Compile_Time_Error (PresentationQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_PresentationQosPolicy'Size, "PresentationQosPolicy size missmatch."); 
  1623.  
  1624.    --  <dref>PresentationQosPolicy</dref> 
  1625.    --  <dref name="Access_Scope">PresentationQosPolicy_access_scope</dref> 
  1626.    --  <dref name="Coherent_Access">PresentationQosPolicy_coherent_access</dref> 
  1627.    --  <dref name="Ordered_Access">PresentationQosPolicy_ordered_access</dref> 
  1628.  
  1629.  
  1630.    PRESENTATION_QOS_POLICY_DEFAULT : constant PresentationQosPolicy := (others => <>); 
  1631.    --  <dref internal="true"></dref> 
  1632.  
  1633.    --  ------------------------------------------------- 
  1634.    --                 DEADLINE 
  1635.    --  ------------------------------------------------- 
  1636.  
  1637.    DEADLINE_QOS_POLICY_NAME        : constant DDS.String := To_DDS_String ("Deadline"); 
  1638.    --  <defgroup>DeadlineQosGroupDocs</defgroup> 
  1639.    --  <dref>DEADLINE_QOS_POLICY_NAME</dref> 
  1640.  
  1641.    type DeadlineQosPolicy is record 
  1642.       Period : aliased Duration_T :=  DURATION_INFINITE; 
  1643.    end record with Convention => C; 
  1644.    pragma Compile_Time_Error (DeadlineQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_DeadlineQosPolicy'Size, "DeadlineQosPolicy size missmatch."); 
  1645.  
  1646.    --  <dref>DeadlineQosPolicy</dref> 
  1647.    --  <dref name="Period">DeadlineQosPolicy_period</dref> 
  1648.  
  1649.  
  1650.    DEADLINE_QOS_POLICY_DEFAULT : constant DeadlineQosPolicy := 
  1651.                                    (Period => DURATION_INFINITE); 
  1652.    --  <dref internal="true"></dref> 
  1653.  
  1654.    --  ------------------------------------------------- 
  1655.    --                 LATENCY_BUDGET 
  1656.    --  ------------------------------------------------- 
  1657.  
  1658.    LATENCYBUDGET_QOS_POLICY_NAME : constant DDS.String := To_DDS_String ("LatencyBudget"); 
  1659.    --  <defgroup>LatencyBudgetQosGroupDocs</defgroup> 
  1660.    --  <dref>LATENCYBUDGET_QOS_POLICY_NAME</dref> 
  1661.  
  1662.    type LatencyBudgetQosPolicy is record 
  1663.       Duration : aliased Duration_T := DURATION_ZERO; 
  1664.    end record with Convention => C; 
  1665.    pragma Compile_Time_Error (LatencyBudgetQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_LatencyBudgetQosPolicy'Size, "LatencyBudgetQosPolicy size missmatch."); 
  1666.  
  1667.    --  <dref>LatencyBudgetQosPolicy</dref> 
  1668.    --  <dref name="Duration">LatencyBudgetQosPolicy_duration</dref> 
  1669.  
  1670.  
  1671.    LATENCY_BUDGET_QOS_POLICY_DEFAULT : constant LatencyBudgetQosPolicy := (others => <>); 
  1672.    --  <dref internal="true"></dref> 
  1673.  
  1674.    --  ------------------------------------------------- 
  1675.    --                 OWNERSHIP 
  1676.    --  ------------------------------------------------- 
  1677.  
  1678.    OWNERSHIP_QOS_POLICY_NAME         : constant DDS.String := To_DDS_String ("Ownership"); 
  1679.    --  <defgroup>OwnershipQosGroupDocs</defgroup> 
  1680.    --  <dref>OWNERSHIP_QOS_POLICY_NAME</dref> 
  1681.  
  1682.    type OwnershipQosPolicyKind is new Unsigned_Long; 
  1683.    --  <dref>OwnershipQosPolicyKind</dref> 
  1684.  
  1685.    SHARED_OWNERSHIP_QOS : constant OwnershipQosPolicyKind := 0; 
  1686.    --  <dref>OwnershipQosPolicyKind_SHARED_OWNERSHIP_QOS</dref> 
  1687.  
  1688.    EXCLUSIVE_OWNERSHIP_QOS : constant OwnershipQosPolicyKind := 1; 
  1689.    --  <dref>OwnershipQosPolicyKind_EXCLUSIVE_OWNERSHIP_QOS</dref> 
  1690.  
  1691.    type OwnershipQosPolicy is record 
  1692.       Kind : aliased OwnershipQosPolicyKind := SHARED_OWNERSHIP_QOS; 
  1693.    end record with Convention => C; 
  1694.    pragma Compile_Time_Error (OwnershipQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_OwnershipQosPolicy'Size, "OwnershipQosPolicy size missmatch."); 
  1695.  
  1696.    --  <dref>OwnershipQosPolicy</dref> 
  1697.    --  <dref name="Kind">OwnershipQosPolicy_kind</dref> 
  1698.  
  1699.  
  1700.    OWNERSHIP_QOS_POLICY_DEFAULT : constant OwnershipQosPolicy := (others => <>); 
  1701.    --  <dref internal="true"></dref> 
  1702.  
  1703.    --  ------------------------------------------------- 
  1704.    --                 OWNERSHIP_STRENGTH 
  1705.    --  ------------------------------------------------- 
  1706.  
  1707.    OWNERSHIPSTRENGTH_QOS_POLICY_NAME : constant DDS.String := To_DDS_String ("OwnershipStrength"); 
  1708.    --  <defgroup>OwnershipStrengthQosGroupDocs</defgroup> 
  1709.    --  <dref>OWNERSHIPSTRENGTH_QOS_POLICY_NAME</dref> 
  1710.  
  1711.    type OwnershipStrengthQosPolicy is record 
  1712.       Value : aliased Long := 0; 
  1713.    end record with Convention => C; 
  1714.    pragma Compile_Time_Error (OwnershipStrengthQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_OwnershipStrengthQosPolicy'Size, "OwnershipStrengthQosPolicy size missmatch."); 
  1715.  
  1716.    --  <dref>OwnershipStrengthQosPolicy</dref> 
  1717.    --  <dref name="Value">OwnershipStrengthQosPolicy_value</dref> 
  1718.  
  1719.  
  1720.    OWNERSHIP_STRENGTH_QOS_POLICY_DEFAULT : constant OwnershipStrengthQosPolicy := 
  1721.                                              (Value => 0); 
  1722.    --  <dref internal="true"></dref> 
  1723.  
  1724.    --  ------------------------------------------------- 
  1725.    --                 LIVELINESS 
  1726.    --  ------------------------------------------------- 
  1727.  
  1728.    LIVELINESS_QOS_POLICY_NAME            : constant DDS.String := To_DDS_String ("Liveliness"); 
  1729.    --  <defgroup>LivelinessQosGroupDocs</defgroup> 
  1730.    --  <dref>LIVELINESS_QOS_POLICY_NAME</dref> 
  1731.  
  1732.    type LivelinessQosPolicyKind is new Unsigned_Long; 
  1733.    --  <dref>LivelinessQosPolicyKind</dref> 
  1734.  
  1735.    AUTOMATIC_LIVELINESS_QOS : constant LivelinessQosPolicyKind := 0; 
  1736.    --  <dref>LivelinessQosPolicyKind_AUTOMATIC_LIVELINESS_QOS</dref> 
  1737.  
  1738.    MANUAL_BY_PARTICIPANT_LIVELINESS_QOS : constant LivelinessQosPolicyKind := 1; 
  1739.    --  <dref>LivelinessQosPolicyKind_MANUAL_BY_PARTICIPANT_LIVELINESS_QOS</dref> 
  1740.  
  1741.    MANUAL_BY_TOPIC_LIVELINESS_QOS : constant LivelinessQosPolicyKind := 2; 
  1742.    --  <dref>LivelinessQosPolicyKind_MANUAL_BY_TOPIC_LIVELINESS_QOS</dref> 
  1743.  
  1744.    type LivelinessQosPolicy is record 
  1745.       Kind                          : aliased LivelinessQosPolicyKind := AUTOMATIC_LIVELINESS_QOS; 
  1746.       Lease_Duration                : aliased Duration_T := DURATION_INFINITE; 
  1747.       Assertions_Per_Lease_Duration : aliased Long := 3; 
  1748.    end record with Convention => C; 
  1749.    pragma Compile_Time_Error (LivelinessQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_LivelinessQosPolicy'Size, "LivelinessQosPolicy size missmatch."); 
  1750.  
  1751.    --  <dref>LivelinessQosPolicy</dref> 
  1752.    --  <dref name="Kind">LivelinessQosPolicy_kind</dref> 
  1753.    --  <dref name="Lease_Duration">LivelinessQosPolicy_lease_duration</dref> 
  1754.    --  <dref name="Assertions_Per_Lease_Duration">LivelinessQosPolicy_assertions_per_lease_duration</dref> 
  1755.  
  1756.  
  1757.    LIVELINESS_QOS_POLICY_DEFAULT : constant LivelinessQosPolicy := (others => <>); 
  1758.    --  <dref internal="true"></dref> 
  1759.  
  1760.    --  ------------------------------------------------- 
  1761.    --                 TIME_BASED_FILTER 
  1762.    --  ------------------------------------------------- 
  1763.  
  1764.    TIMEBASEDFILTER_QOS_POLICY_NAME : constant DDS.String  := To_DDS_String ("TimeBasedFilter"); 
  1765.    --  <defgroup>TimeBasedFilterQosGroupDocs</defgroup> 
  1766.    --  <dref>TIMEBASEDFILTER_QOS_POLICY_NAME</dref> 
  1767.  
  1768.    type TimeBasedFilterQosPolicy is record 
  1769.       Minimum_Separation : aliased Duration_T :=  DURATION_ZERO; 
  1770.    end record with Convention => C; 
  1771.    pragma Compile_Time_Error (TimeBasedFilterQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_TimeBasedFilterQosPolicy'Size, "TimeBasedFilterQosPolicy size missmatch."); 
  1772.  
  1773.    --  <dref>TimeBasedFilterQosPolicy</dref> 
  1774.    --  <dref name="Minimum_Separation">TimeBasedFilterQosPolicy_minimum_separation</dref> 
  1775.  
  1776.    TIME_BASED_FILTER_QOS_POLICY_DEFAULT : constant  TimeBasedFilterQosPolicy := (others => <>); 
  1777.    --  <dref internal="true"></dref> 
  1778.  
  1779.    --  ------------------------------------------------- 
  1780.    --                 PARTITION 
  1781.    --  ------------------------------------------------- 
  1782.  
  1783.    PARTITION_QOS_POLICY_NAME : constant DDS.String := To_DDS_String ("Partition"); 
  1784.    --  <defgroup>PartitionQosGroupDocs</defgroup> 
  1785.    --  <dref>PARTITION_QOS_POLICY_NAME</dref> 
  1786.  
  1787.    type PartitionQosPolicy is record 
  1788.       Name : aliased String_Seq.Sequence; 
  1789.    end record with Convention => C; 
  1790.    pragma Compile_Time_Error (PartitionQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_PartitionQosPolicy'Size, "PartitionQosPolicy size missmatch."); 
  1791.  
  1792.    --  <dref>PartitionQosPolicy</dref> 
  1793.    --  <dref name="Name">PartitionQosPolicy_name</dref> 
  1794.  
  1795.    --     PARTITION_QOS_POLICY_DEFAULT : constant PartitionQosPolicy := 
  1796.    --                                      (Name => String_Seq.DEFAULT_SEQUENCE); 
  1797.  
  1798.    --  ------------------------------------------------- 
  1799.    --                 RELIABILITY 
  1800.    --  ------------------------------------------------- 
  1801.  
  1802.    RELIABILITY_QOS_POLICY_NAME : constant DDS.String := To_DDS_String ("Reliability"); 
  1803.    --  <defgroup>ReliabilityQosGroupDocs</defgroup> 
  1804.    --  <dref>RELIABILITY_QOS_POLICY_NAME</dref> 
  1805.  
  1806.    type ReliabilityQosPolicyKind is new Unsigned_Long; 
  1807.    --  <dref>ReliabilityQosPolicyKind</dref> 
  1808.  
  1809.    BEST_EFFORT_RELIABILITY_QOS : constant ReliabilityQosPolicyKind := 0; 
  1810.    --  <dref>ReliabilityQosPolicyKind_BEST_EFFORT_RELIABILITY_QOS</dref> 
  1811.  
  1812.    RELIABLE_RELIABILITY_QOS : constant ReliabilityQosPolicyKind := 1; 
  1813.    --  <dref>ReliabilityQosPolicyKind_RELIABLE_RELIABILITY_QOS</dref> 
  1814.  
  1815.    type ReliabilityQosPolicyAcknowledgmentModeKind is new Unsigned_Long; 
  1816.    --  <dref>ReliabilityQosPolicyAcknowledgmentModeKind</dref> 
  1817.  
  1818.    PROTOCOL_ACKNOWLEDGMENT_MODE : constant ReliabilityQosPolicyAcknowledgmentModeKind := 0; 
  1819.    --  <dref>ReliabilityQosPolicyAcknowledgmentModeKind_PROTOCOL_ACKNOWLEDGMENT_MODE</dref> 
  1820.  
  1821.    APPICATION_AUTO_ACKNOWLEDGMENT_MODE : constant ReliabilityQosPolicyAcknowledgmentModeKind := 1; 
  1822.    --  <dref>ReliabilityQosPolicyAcknowledgmentModeKind_APPLICATION_AUTO_ACKNOWLEDGMENT_MODE</dref> 
  1823.  
  1824.    APPICATION_ORDERED_ACKNOWLEDGMENT_MODE : constant ReliabilityQosPolicyAcknowledgmentModeKind := 2; 
  1825.  
  1826.    APPICATION_EXPLICIT_ACKNOWLEDGMENT_MODE : constant ReliabilityQosPolicyAcknowledgmentModeKind := 3; 
  1827.    --  <dref>ReliabilityQosPolicyAcknowledgmentModeKind_APPLICATION_EXPLICIT_ACKNOWLEDGMENT_MODE</dref> 
  1828.    type InstanceStateRecoveryKind is (NO_INSTANCE_STATE_RECOVERY, RECOVER_INSTANCE_STATE_RECOVERY); 
  1829.    type ReliabilityQosPolicy is record 
  1830.       Kind                         : aliased ReliabilityQosPolicyKind := BEST_EFFORT_RELIABILITY_QOS; 
  1831.       Max_Blocking_Time            : aliased Duration_T := (0, 100_000_000); 
  1832.       Acknowledgment_Kind          : aliased ReliabilityQosPolicyAcknowledgmentModeKind := PROTOCOL_ACKNOWLEDGMENT_MODE; 
  1833.       Instance_State_Recovery_Kind : aliased InstanceStateRecoveryKind := NO_INSTANCE_STATE_RECOVERY; 
  1834.    end record with Convention => C; 
  1835.    pragma Compile_Time_Error (ReliabilityQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_ReliabilityQosPolicy'Size, "ReliabilityQosPolicy size missmatch."); 
  1836.  
  1837.    --  <dref>ReliabilityQosPolicy</dref> 
  1838.    --  <dref name="Kind">ReliabilityQosPolicy_kind</dref> 
  1839.    --  <dref name="Max_Blocking_Time">ReliabilityQosPolicy_max_blocking_time</dref> 
  1840.    --  <dref name="Acknowledgment_Kind">ReliabilityQosPolicy_acknowledgment_kind</dref> 
  1841.  
  1842.    RELIABILITY_QOS_POLICY_DEFAULT : constant ReliabilityQosPolicy := (others => <>); 
  1843.    --  <dref internal="true"></dref> 
  1844.  
  1845.    --  ------------------------------------------------- 
  1846.    --                 DESTINATION_ORDER 
  1847.    --  ------------------------------------------------- 
  1848.  
  1849.    DESTINATIONORDER_QOS_POLICY_NAME : constant DDS.String := To_DDS_String ("DestinationOrder"); 
  1850.    --  <defgroup>DestinationOrderQosGroupDocs</defgroup> 
  1851.    --  <dref>DESTINATIONORDER_QOS_POLICY_NAME</dref> 
  1852.  
  1853.    type DestinationOrderQosPolicyKind is new Unsigned_Long; 
  1854.    --  <dref>DestinationOrderQosPolicyKind</dref> 
  1855.  
  1856.    BY_RECEPTION_TIMESTAMP_DESTINATIONORDER_QOS : constant DestinationOrderQosPolicyKind := 0; 
  1857.    --  <dref>DestinationOrderQosPolicyKind_BY_RECEPTION_TIMESTAMP_DESTINATIONORDER_QOS</dref> 
  1858.  
  1859.    BY_SOURCE_TIMESTAMP_DESTINATIONORDER_QOS : constant DestinationOrderQosPolicyKind := 1; 
  1860.    --  <dref>DestinationOrderQosPolicyKind_BY_SOURCE_TIMESTAMP_DESTINATIONORDER_QOS</dref> 
  1861.  
  1862.    type DestinationOrderQosPolicyScopeKind is new Unsigned_Long; 
  1863.    --  <dref internal="true">DestinationOrderQosPolicyScopeKind</dref> 
  1864.  
  1865.    INSTANCE_SCOPE_DESTINATIONORDER_QOS : constant DestinationOrderQosPolicyScopeKind := 0; 
  1866.    --  <dref internal="true">DestinationOrderQosPolicyScopeKind_INSTANCE_SCOPE_DESTINATIONORDER_QOS</dref> 
  1867.  
  1868.    TOPIC_SCOPE_DESTINATIONORDER_QOS : constant DestinationOrderQosPolicyScopeKind := 1; 
  1869.    --  <dref internal="true">DestinationOrderQosPolicyScopeKind_TOPIC_SCOPE_DESTINATIONORDER_QOS</dref> 
  1870.  
  1871.    type DestinationOrderQosPolicy is record 
  1872.       Kind                       : aliased DestinationOrderQosPolicyKind := BY_RECEPTION_TIMESTAMP_DESTINATIONORDER_QOS; 
  1873.       Scope                      : aliased DestinationOrderQosPolicyScopeKind := INSTANCE_SCOPE_DESTINATIONORDER_QOS; 
  1874.       Source_Timestamp_Tolerance : aliased Duration_T := DURATION_ZERO; 
  1875.    end record with Convention => C; 
  1876.    pragma Compile_Time_Error (DestinationOrderQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_DestinationOrderQosPolicy'Size, "DestinationOrderQosPolicy size missmatch."); 
  1877.  
  1878.    --  <dref>DestinationOrderQosPolicy</dref> 
  1879.    --  <dref name="Kind">DestinationOrderQosPolicy_kind</dref> 
  1880.    --  <dref internal="true" name="Scope">DestinationOrderQosPolicy_scope</dref> 
  1881.    --  <dref name="source_timestamp_tolerance">DestinationOrderQosPolicy_source_timestamp_tolerance</dref> 
  1882.  
  1883.    DESTINATION_ORDER_QOS_POLICY_DEFAULT : constant DestinationOrderQosPolicy := (others => <>); 
  1884.    --  <dref internal="true"></dref> 
  1885.  
  1886.    --  ------------------------------------------------- 
  1887.    --                 HISTORY 
  1888.    --  ------------------------------------------------- 
  1889.  
  1890.    HISTORY_QOS_POLICY_NAME              : constant DDS.String := To_DDS_String ("History"); 
  1891.    --  <defgroup>HistoryQosGroupDocs</defgroup> 
  1892.    --  <dref>HISTORY_QOS_POLICY_NAME</dref> 
  1893.  
  1894.    type HistoryQosPolicyKind is new Unsigned_Long; 
  1895.    --  <dref>HistoryQosPolicyKind</dref> 
  1896.  
  1897.    KEEP_LAST_HISTORY_QOS                : constant HistoryQosPolicyKind := 0; 
  1898.    --  <dref>HistoryQosPolicyKind_KEEP_LAST_HISTORY_QOS</dref> 
  1899.  
  1900.    KEEP_ALL_HISTORY_QOS                 : constant HistoryQosPolicyKind := 1; 
  1901.    --  <dref>HistoryQosPolicyKind_KEEP_ALL_HISTORY_QOS</dref> 
  1902.  
  1903.    type HistoryQosPolicy is record 
  1904.       Kind     : aliased HistoryQosPolicyKind := KEEP_LAST_HISTORY_QOS; 
  1905.       Depth    : aliased Long := 1; 
  1906.    end record with Convention => C; 
  1907.    pragma Compile_Time_Error (HistoryQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_HistoryQosPolicy'Size, "HistoryQosPolicy size missmatch."); 
  1908.  
  1909.    --  <dref>HistoryQosPolicy</dref> 
  1910.    --  <dref name="Kind">HistoryQosPolicy_kind</dref> 
  1911.    --  <dref name="Depth">HistoryQosPolicy_depth</dref> 
  1912.  
  1913.    HISTORY_QOS_POLICY_DEFAULT           : constant HistoryQosPolicy := (others => <>); 
  1914.    --  <dref internal="true"></dref> 
  1915.  
  1916.    --  ------------------------------------------------- 
  1917.    --                 LENGTH_UNLIMITED 
  1918.    --  ------------------------------------------------- 
  1919.  
  1920.    LENGTH_UNLIMITED                     : constant Long  := -1; 
  1921.    --  <dref>LENGTH_UNLIMITED</dref> 
  1922.  
  1923.    --  ------------------------------------------------- 
  1924.    --                 DURABILITY_SERVICE 
  1925.    --  ------------------------------------------------- 
  1926.  
  1927.    DURABILITYSERVICE_QOS_POLICY_NAME    : constant DDS.String := To_DDS_String ("DurabilityService"); 
  1928.    --  <defgroup>DurabilityServiceQosGroupDocs</defgroup> 
  1929.    --  <dref>DURABILITY_QOS_POLICY_NAME</dref> 
  1930.  
  1931.    type DurabilityServiceQosPolicy is record 
  1932.       Service_Cleanup_Delay    : aliased Duration_T := DURATION_ZERO; 
  1933.       History_Kind             : aliased HistoryQosPolicyKind := KEEP_LAST_HISTORY_QOS; 
  1934.       History_Depth            : aliased Long := 1; 
  1935.       Max_Samples              : aliased Long := LENGTH_UNLIMITED; 
  1936.       Max_Instances            : aliased Long := LENGTH_UNLIMITED; 
  1937.       Max_Samples_Per_Instance : aliased Long := LENGTH_UNLIMITED; 
  1938.    end record with Convention => C; 
  1939.    pragma Compile_Time_Error (DurabilityServiceQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_DurabilityServiceQosPolicy'Size, "DurabilityServiceQosPolicy size missmatch."); 
  1940.  
  1941.    --  <dref>DurabilityServiceQosPolicy</dref> 
  1942.    --  <dref name="Service_Cleanup_Delay">DurabilityServiceQosPolicy_service_cleanup_delay</dref> 
  1943.    --  <dref name="History_Kind">DurabilityServiceQosPolicy_history_kind</dref> 
  1944.    --  <dref name="History_Depth">DurabilityServiceQosPolicy_history_depth</dref> 
  1945.    --  <dref name="Max_Samples">DurabilityServiceQosPolicy_max_samples</dref> 
  1946.    --  <dref name="Max_Instances">DurabilityServiceQosPolicy_max_instances</dref> 
  1947.    --  <dref name="Max_Samples_Per_Instance">DurabilityServiceQosPolicy_max_samples_per_instance</dref> 
  1948.  
  1949.    DURABILITY_SERVICE_QOS_POLICY_DEFAULT : constant DurabilityServiceQosPolicy := (others => <>); 
  1950.    --  <dref internal="true"></dref> 
  1951.  
  1952.    --  ------------------------------------------------- 
  1953.    --                 RESOURCE_LIMITS 
  1954.    --  ------------------------------------------------- 
  1955.  
  1956.    RESOURCELIMITS_QOS_POLICY_NAME        : constant DDS.String := To_DDS_String ("ResourceLimits"); 
  1957.    --  <defgroup>ResourceLimitsQosGroupDocs</defgroup> 
  1958.    --  <dref>RESOURCELIMITS_QOS_POLICY_NAME</dref> 
  1959.  
  1960.    type ResourceLimitsQosPolicy is record 
  1961.       Max_Samples              : aliased Long := LENGTH_UNLIMITED; 
  1962.       Max_Instances            : aliased Long := LENGTH_UNLIMITED; 
  1963.       Max_Samples_Per_Instance : aliased Long := LENGTH_UNLIMITED; 
  1964.       Initial_Samples          : aliased Long := 32; 
  1965.       Initial_Instances        : aliased Long := 32; 
  1966.       Instance_Hash_Buckets    : aliased Long := 32; 
  1967.    end record with Convention => C; 
  1968.    pragma Compile_Time_Error (ResourceLimitsQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_ResourceLimitsQosPolicy'Size, "ResourceLimitsQosPolicy size missmatch."); 
  1969.  
  1970.    --  <dref>ResourceLimitsQosPolicy</dref> 
  1971.    --  <dref name="Max_Samples">ResourceLimitsQosPolicy_max_samples</dref> 
  1972.    --  <dref name="Max_Instances">ResourceLimitsQosPolicy_max_instances</dref> 
  1973.    --  <dref name="Max_Samples_Per_Instance">ResourceLimitsQosPolicy_max_samples_per_instance</dref> 
  1974.    --  <dref name="Initial_Samples">ResourceLimitsQosPolicy_initial_samples</dref> 
  1975.    --  <dref name="Initial_Instances">ResourceLimitsQosPolicy_initial_instances</dref> 
  1976.    --  <dref name="Instance_Hash_Buckets">ResourceLimitsQosPolicy_instance_hash_buckets</dref> 
  1977.  
  1978.    RESOURCE_LIMITS_QOS_POLICY_DEFAULT : constant ResourceLimitsQosPolicy := (others => <>); 
  1979.    --  <dref internal="true"></dref> 
  1980.  
  1981.    --  ------------------------------------------------- 
  1982.    --                 TRANSPORT_PRIORITY 
  1983.    --  ------------------------------------------------- 
  1984.  
  1985.    TRANSPORTPRIORITY_QOS_POLICY_NAME  : constant DDS.String := To_DDS_String ("TransportPriority"); 
  1986.    --  <defgroup>TransportPriorityQosGroupDocs</defgroup> 
  1987.    --  <dref>TRANSPORTPRIORITY_QOS_POLICY_NAME</dref> 
  1988.  
  1989.    type TransportPriorityQosPolicy is record 
  1990.       Value : aliased Long := 0; 
  1991.    end record with Convention => C; 
  1992.    pragma Compile_Time_Error (TransportPriorityQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_TransportPriorityQosPolicy'Size, "TransportPriorityQosPolicy size missmatch."); 
  1993.  
  1994.    --  <dref>TransportPriorityQosPolicy</dref> 
  1995.    --  <dref name="Value">TransportPriorityQosPolicy_value</dref> 
  1996.  
  1997.    TRANSPORT_PRIORITY_QOS_POLICY_DEFAULT : constant TransportPriorityQosPolicy := (others => <>); 
  1998.    --  <dref internal="true"></dref> 
  1999.  
  2000.    --  ------------------------------------------------- 
  2001.    --                 LIFESPAN 
  2002.    --  ------------------------------------------------- 
  2003.  
  2004.    LIFESPAN_QOS_POLICY_NAME              : constant DDS.String := To_DDS_String ("Lifespan"); 
  2005.    --  <defgroup>LifespanQosGroupDocs</defgroup> 
  2006.    --  <dref>LIFESPAN_QOS_POLICY_NAME</dref> 
  2007.  
  2008.    type LifespanQosPolicy is record 
  2009.       Duration : aliased Duration_T := DURATION_INFINITE; 
  2010.    end record with Convention => C; 
  2011.    pragma Compile_Time_Error (LifespanQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_LifespanQosPolicy'Size, "LifespanQosPolicy size missmatch."); 
  2012.  
  2013.    --  <dref>LifespanQosPolicy</dref> 
  2014.    --  <dref name="Duration">LifespanQosPolicy_duration</dref> 
  2015.  
  2016.  
  2017.    LIFESPAN_QOS_POLICY_DEFAULT        : constant LifespanQosPolicy := (others => <>); 
  2018.    --  <dref internal="true"></dref> 
  2019.  
  2020.    --  ------------------------------------------------- 
  2021.    --                 WRITER_DATA_LIFECYCLE 
  2022.    --  ------------------------------------------------- 
  2023.  
  2024.    WRITERDATALIFECYCLE_QOS_POLICY_NAME : constant DDS.String := To_DDS_String ("WriterDataLifecycle"); 
  2025.    --  <defgroup>WriterDataLifecycleQosGroupDocs</defgroup> 
  2026.    --  <dref>WRITERDATALIFECYCLE_QOS_POLICY_NAME</dref> 
  2027.  
  2028.    type WriterDataLifecycleQosPolicy is record 
  2029.       Autodispose_Unregistered_Instances     : aliased DDS.Boolean := True; 
  2030.       Autopurge_Unregistered_Instances_Delay : aliased DDS.Duration_T := DURATION_INFINITE; 
  2031.       Autopurge_Disposed_Instances_Delay     : aliased DDS.Duration_T := DURATION_INFINITE; 
  2032.    end record with Convention => C; 
  2033.    pragma Compile_Time_Error (WriterDataLifecycleQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_WriterDataLifecycleQosPolicy'Size, "WriterDataLifecycleQosPolicy size missmatch."); 
  2034.  
  2035.    --  <dref>WriterDataLifecycleQosPolicy</dref> 
  2036.    --  <dref name="Autodispose_Unregistered_Instances">WriterDataLifecycleQosPolicy_autodispose_unregistered_instances</dref> 
  2037.    --  <dref name="Autopurge_Unregistered_Instances_Delay">WriterDataLifecycleQosPolicy_autopurge_unregistered_instances_delay</dref> 
  2038.    --  <dref name="Autopurge_Disposed_Instances_Delay">WriterDataLifecycleQosPolicy_autopurge_disposed_instances_delay</dref> 
  2039.  
  2040.    WRITER_DATA_LIFECYCLE_QOS_POLICY_DEFAULT : constant WriterDataLifecycleQosPolicy := (others => <>); 
  2041.    --  <dref internal="true"></dref> 
  2042.  
  2043.    --  ------------------------------------------------- 
  2044.    --                 READER_DATA_LIFECYCLE 
  2045.    --  ------------------------------------------------- 
  2046.  
  2047.    READERDATALIFECYCLE_QOS_POLICY_NAME      : constant DDS.String := To_DDS_String ("ReaderDataLifecycle"); 
  2048.    --  <defgroup>ReaderDataLifecycleQosGroupDocs</defgroup> 
  2049.    --  <dref>READERDATALIFECYCLE_QOS_POLICY_NAME</dref> 
  2050.  
  2051.    type ReaderDataLifecycleQosPolicy is record 
  2052.       Autopurge_Nowriter_Samples_Delay   : aliased Duration_T := DURATION_INFINITE; 
  2053.       Autopurge_Disposed_Samples_Delay   : aliased Duration_T := DURATION_INFINITE; 
  2054.       Autopurge_Disposed_Instances_Delay : aliased Duration_T := DURATION_INFINITE; 
  2055.       Autopurge_Nowriter_Instances_Delay : aliased Duration_T := DURATION_ZERO; 
  2056.    end record with Convention => C; 
  2057.    pragma Compile_Time_Error (ReaderDataLifecycleQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_ReaderDataLifecycleQosPolicy'Size, "ReaderDataLifecycleQosPolicy size missmatch."); 
  2058.  
  2059.    --  <dref>ReaderDataLifecycleQosPolicy</dref> 
  2060.    --  <dref name="Autopurge_Nowriter_Samples_Delay">ReaderDataLifecycleQosPolicy_autopurge_nowriter_samples_delay</dref> 
  2061.    --  <dref name="Autopurge_Disposed_Samples_Delay">ReaderDataLifecycleQosPolicy_autopurge_disposed_samples_delay</dref> 
  2062.    --  <dref name="Autopurge_Disposed_Instances_Delay">ReaderDataLifecycleQosPolicy_autopurge_disposed_instances_delay</dref> 
  2063.    --  <dref name="Autopurge_Disposed_Instances_Delay">ReaderDataLifecycleQosPolicy_autopurge_nowriter_instances_delay</dref> 
  2064.  
  2065.    READER_DATA_LIFECYCLE_QOS_POLICY_DEFAULT : constant ReaderDataLifecycleQosPolicy := (others => <>); 
  2066.    --  <dref internal="true"></dref> 
  2067.  
  2068.    --  ------------------------------------------------- 
  2069.    --                 ENTITY_FACTORY 
  2070.    --  ------------------------------------------------- 
  2071.  
  2072.    ENTITYFACTORY_QOS_POLICY_NAME            : constant DDS.String := To_DDS_String ("EntityFactory"); 
  2073.    --  <defgroup>EntityFactoryQosGroupDocs</defgroup> 
  2074.    --  <dref>ENTITYFACTORY_QOS_POLICY_NAME</dref> 
  2075.  
  2076.    type EntityFactoryQosPolicy is record 
  2077.       Autoenable_Created_Entities : aliased DDS.Boolean := True; 
  2078.    end record with Convention => C; 
  2079.    pragma Compile_Time_Error (EntityFactoryQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_EntityFactoryQosPolicy'Size, "EntityFactoryQosPolicy size missmatch."); 
  2080.  
  2081.    --  <dref>EntityFactoryQosPolicy</dref> 
  2082.    --  <dref name="Autoenable_Created_Entities">EntityFactoryQosPolicy_autoenable_created_entities</dref> 
  2083.  
  2084.    ENTITY_FACTORY_QOS_POLICY_DEFAULT  : constant EntityFactoryQosPolicy := (others => <>); 
  2085.    --  <dref internal="true"></dref> 
  2086.  
  2087.    --  ------------------------------------------------- 
  2088.    --                 EXTENDED QOS SUPPORT 
  2089.    --  ------------------------------------------------- 
  2090.  
  2091.    --!!! 
  2092.    --!!! RTI DDS Extensions 
  2093.    --!!! 
  2094.  
  2095.    --  ------------------------------------------------- 
  2096.    --                 RtpsReliableReaderProtocol_t 
  2097.    --  ------------------------------------------------- 
  2098.  
  2099.    type RtpsReliableReaderProtocol_T is record 
  2100.       Min_Heartbeat_Response_Delay       : aliased Duration_T := (0, 0); 
  2101.       Max_Heartbeat_Response_Delay       : aliased Duration_T := (0, 500_000_000); 
  2102.       Heartbeat_Suppression_Duration     : aliased Duration_T := (0, 62_500_000); 
  2103.       Nack_Period                        : aliased Duration_T := (5, 0); 
  2104.       Receive_Window_Size                : aliased Long := 256; 
  2105.       Round_Trip_Time                    : aliased Duration_T := (0, 0); 
  2106.       App_Ack_Period                     : aliased Duration_T := (5, 0); 
  2107.       Min_App_Ack_Response_Keep_Duration : aliased Duration_T := (0, 0); 
  2108.       Samples_Per_App_Ack                : aliased Long := 1; 
  2109.    end record with Convention => C; 
  2110.    pragma Compile_Time_Error (RtpsReliableReaderProtocol_T'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_RtpsReliableReaderProtocol_t'Size, "DDS_RtpsReliableReaderProtocol_t size missmatch"); 
  2111.  
  2112.  
  2113.    RTPS_RELIABLE_READER_PROTOCOL_DEFAULT : aliased constant RtpsReliableReaderProtocol_T := (others => <>); 
  2114.    --  <dref internal="true"></dref> 
  2115.  
  2116.    RTPS_RELIABLE_READER_PROTOCOL_DISCOVERY_CONFIG_DEFAULT : 
  2117.    aliased  constant RtpsReliableReaderProtocol_T := (Max_Heartbeat_Response_Delay => (0, 0), 
  2118.                                                       others                       => <>); 
  2119.    --  <dref internal="true"></dref> 
  2120.  
  2121.    RTPS_PARTICIPANT_MESSAGE_READER_DISCOVERY_CONFIG_DEFAULT : 
  2122.    aliased constant RtpsReliableReaderProtocol_T := (Max_Heartbeat_Response_Delay => (0, 0), 
  2123.                                                      others                       => <>); 
  2124.    --  <dref internal="true"></dref> 
  2125.  
  2126.    --  ------------------------------------------------- 
  2127.    --                 RtpsReliableWriterProtocol_t 
  2128.    --  ------------------------------------------------- 
  2129.  
  2130.    type RtpsReliableWriterProtocol_T is record 
  2131.       Low_Watermark                                                     : aliased Long := 0; 
  2132.       High_Watermark                                                    : aliased Long := 1; 
  2133.       Heartbeat_Period                                                  : aliased Duration_T := (3, 0); 
  2134.       Fast_Heartbeat_Period                                             : aliased Duration_T := (3, 0); 
  2135.       Late_Joiner_Heartbeat_Period                                      : aliased Duration_T := (3, 0); 
  2136.       Virtual_Heartbeat_Period                                          : aliased Duration_T := DURATION_INFINITE; 
  2137.       Samples_Per_Virtual_Heartbeat                                     : aliased Long := -1; 
  2138.       Max_Heartbeat_Retries                                             : aliased Long := 10; 
  2139.       Inactivate_Nonprogressing_Readers                                 : aliased Boolean := False; 
  2140.       Heartbeats_Per_Max_Samples                                        : aliased Long := 8; 
  2141.       Min_Nack_Response_Delay                                           : aliased Duration_T := (0, 0); 
  2142.       Max_Nack_Response_Delay                                           : aliased Duration_T := (0, 200_000_000); 
  2143.       Nack_Suppression_Duration                                         : aliased Duration_T := (0, 0); 
  2144.       Max_Bytes_Per_Nack_Response                                       : aliased Long := 131072; 
  2145.       Disable_Positive_Acks_Min_Sample_Keep_Duration                    : aliased Duration_T := (0, 1_000_000); 
  2146.       Disable_Positive_Acks_Max_Sample_Keep_Duration                    : aliased Duration_T := (1, 0); 
  2147.       Disable_Positive_Acks_Sample_Min_Separation                       : aliased Duration_T := (0, 1_000_000); 
  2148.       Disable_Positive_Acks_Enable_Adaptive_Sample_Keep_Duration        : aliased Boolean := True; 
  2149.       Disable_Positive_Acks_Enable_Spin_Wait                            : aliased Boolean := False; 
  2150.       Disable_Positive_Acks_Decrease_Sample_Keep_Duration_Factor        : aliased Long := 0; 
  2151.       Disable_Positive_Acks_Increase_Sample_Keep_Duration_Factor        : aliased Long := 3; 
  2152.       Min_Send_Window_Size                                              : aliased Long := 32; 
  2153.       Max_Send_Window_Size                                              : aliased Long := 256; 
  2154.       Send_Window_Update_Period                                         : aliased Duration_T := (3, 0); 
  2155.       Send_Window_Increase_Factor                                       : aliased Long := 105; 
  2156.       Send_Window_Decrease_Factor                                       : aliased Long := 70; 
  2157.       Enable_Multicast_Periodic_Heartbeat                               : aliased Boolean := False; 
  2158.       Multicast_Resend_Threshold                                        : aliased Long := 2; 
  2159.       Disable_Repair_Piggyback_Heartbeat                                : aliased Boolean := False; 
  2160.    end record with Convention => C; 
  2161.  
  2162.    RTPS_RELIABLE_WRITER_PROTOCOL_DEFAULT : constant RtpsReliableWriterProtocol_T := (others => <>); 
  2163.    --  <dref internal="true"></dref> 
  2164.  
  2165.    RTPS_RELIABLE_WRITER_PROTOCOL_DISCOVERY_CONFIG_DEFAULT  :  constant RtpsReliableWriterProtocol_T := (others => <>); 
  2166.    --  <dref internal="true"></dref> 
  2167.  
  2168.    RTPS_PARTICIPANT_MESSAGE_WRITER_DISCOVERY_CONFIG_DEFAULT  : 
  2169.    constant RtpsReliableWriterProtocol_T := (Low_Watermark                                                      => 0, 
  2170.                                              High_Watermark                                                     => 1, 
  2171.                                              Heartbeat_Period                                                   => (1, 0), 
  2172.                                              Fast_Heartbeat_Period                                              => (1, 0), 
  2173.                                              Late_Joiner_Heartbeat_Period                                       => (1, 0), 
  2174.                                              Virtual_Heartbeat_Period                                           => DURATION_INFINITE, 
  2175.                                              Samples_Per_Virtual_Heartbeat                                      => -1, 
  2176.                                              Max_Heartbeat_Retries                                              => 10, 
  2177.                                              Inactivate_Nonprogressing_Readers                                  => False, 
  2178.                                              Heartbeats_Per_Max_Samples                                         => 1, 
  2179.                                              Min_Nack_Response_Delay                                            => (0, 0), 
  2180.                                              Max_Nack_Response_Delay                                            => (0, 0), 
  2181.                                              Nack_Suppression_Duration                                          => (0, 0), 
  2182.                                              Max_Bytes_Per_Nack_Response                                        => 9216, 
  2183.                                              Disable_Positive_Acks_Min_Sample_Keep_Duration                     => (0, 1_000_000), 
  2184.                                              Disable_Positive_Acks_Max_Sample_Keep_Duration                     => (1, 0), 
  2185.                                              Disable_Positive_Acks_Sample_Min_Separation                        => (0, 100_000), 
  2186.                                              Disable_Positive_Acks_Enable_Adaptive_Sample_Keep_Duration         => True, 
  2187.                                              Disable_Positive_Acks_Enable_Spin_Wait                             => False, 
  2188.                                              Disable_Positive_Acks_Decrease_Sample_Keep_Duration_Factor         => 95, 
  2189.                                              Disable_Positive_Acks_Increase_Sample_Keep_Duration_Factor         => 150, 
  2190.                                              Min_Send_Window_Size                                               => -1, 
  2191.                                              Max_Send_Window_Size                                               => -1, 
  2192.                                              Send_Window_Update_Period                                          => (1, 0), 
  2193.                                              Send_Window_Increase_Factor                                        => 105, 
  2194.                                              Send_Window_Decrease_Factor                                        => 50, 
  2195.                                              Enable_Multicast_Periodic_Heartbeat                                => False, 
  2196.                                              Multicast_Resend_Threshold                                         => 2, 
  2197.                                              Disable_Repair_Piggyback_Heartbeat                                 => False 
  2198.                                             ); 
  2199.    --  <dref internal="true"></dref> 
  2200.  
  2201.    --  ------------------------------------------------- 
  2202.    --                 UserObjectSettings_t 
  2203.    --  ------------------------------------------------- 
  2204.  
  2205.    type UserObjectSettings_T is record 
  2206.       Size      : aliased Long := 0; 
  2207.       Alignment : aliased Long := 0; 
  2208.    end record with 
  2209.      Convention => C; 
  2210.    --  <dref internal="true">UserObjectSettings_t</dref> 
  2211.    --  <dref internal="true" name="Size">UserObjectSettings_t_size</dref> 
  2212.    --  <dref internal="true" name="Alignment">UserObjectSettings_t_alignment</dref> 
  2213.  
  2214.    --  ------------------------------------------------- 
  2215.    --                 TransportUnicastSettings_t 
  2216.    --  ------------------------------------------------- 
  2217.  
  2218.    type TransportUnicastSettings_T is record 
  2219.       Transports   : aliased String_Seq.Sequence; 
  2220.       Receive_Port : aliased Long := 0; 
  2221.    end record with 
  2222.      Convention => C; 
  2223.    --  <defgroup>TransportUnicastSettingsGroupDocs</defgroup> 
  2224.    --  <dref>TransportUnicastSettings_t</dref> 
  2225.    --  <dref name="Transport">TransportUnicastSettings_t_transports</dref> 
  2226.    --  <dref name="Receive_Port">TransportUnicastSettings_t_receive_port</dref> 
  2227.  
  2228.    type TransportUnicastSettings_T_Access is access all TransportUnicastSettings_T; 
  2229.    type TransportUnicastSettings_T_Array is array 
  2230.      (Natural range <>) of aliased TransportUnicastSettings_T; 
  2231.    procedure Initialize (Self  : in out TransportUnicastSettings_T); 
  2232.    procedure Finalize (Self  : in out TransportUnicastSettings_T); 
  2233.    procedure Copy (Dst : in out TransportUnicastSettings_T; 
  2234.                    Src : in TransportUnicastSettings_T); 
  2235.  
  2236.    package TransportUnicastSettings_Seq is new DDS_Support.Sequences_Generic 
  2237.      (TransportUnicastSettings_T, 
  2238.       TransportUnicastSettings_T_Access, 
  2239.       DDS.Natural, 
  2240.       1, 
  2241.       TransportUnicastSettings_T_Array); 
  2242.    --  <dref>TransportUnicastSettingsSeq</dref> 
  2243.  
  2244.    --  ------------------------------------------------- 
  2245.    --                 TransportMulticastSettings_t 
  2246.    --  ------------------------------------------------- 
  2247.  
  2248.    type TransportMulticastSettings_T is record 
  2249.       Transports      : DDS.String_Seq.Sequence; 
  2250.       Receive_Address : DDS.String; 
  2251.       Receive_Port    : Long := 0; 
  2252.    end record with 
  2253.      Convention => C; 
  2254.    --  <defgroup>TransportMulticastSettingsGroupDocs</defgroup> 
  2255.    --  <dref>TransportMulticastSettings_t</dref> 
  2256.    --  <dref name="Transports">TransportMulticastSettings_t_transports</dref> 
  2257.    --  <dref name="Receive_Address">TransportMulticastSettings_t_receive_address</dref> 
  2258.    --  <dref name="Receive_Port">TransportMulticastSettings_t_receive_port</dref> 
  2259.  
  2260.    TRANSPORT_MULTICAST_LOCATORS_DEFAULT : constant TransportMulticastSettings_T := (others => <>); 
  2261.  
  2262.    type TransportMulticastSettings_T_Access is access all TransportMulticastSettings_T; 
  2263.    type TransportMulticastSettings_T_Array is array 
  2264.      (Natural range <>) of aliased TransportMulticastSettings_T; 
  2265.    procedure Initialize (Self  : in out TransportMulticastSettings_T); 
  2266.    procedure Finalize (Self  : in out TransportMulticastSettings_T); 
  2267.    procedure Copy (Dst : in out TransportMulticastSettings_T; 
  2268.                    Src : in TransportMulticastSettings_T); 
  2269.  
  2270.    package TransportMulticastSettings_Seq is new DDS_Support.Sequences_Generic 
  2271.      (TransportMulticastSettings_T, 
  2272.       TransportMulticastSettings_T_Access, 
  2273.       DDS.Natural, 
  2274.       1, 
  2275.       TransportMulticastSettings_T_Array); 
  2276.    --  <dref>TransportMulticastSettingsSeq</dref> 
  2277.  
  2278.    --  ------------------------------------------------- 
  2279.    --             TransportMulticastMappingFunction_t 
  2280.    --  ------------------------------------------------- 
  2281.  
  2282.    type TransportMulticastMappingFunction_T is record 
  2283.       Dll             : DDS.String; 
  2284.       Function_Name   : DDS.String; 
  2285.    end record with 
  2286.      Convention => C; 
  2287.    --  <defgroup>TransportMulticastMappingGroupDocs</defgroup> 
  2288.    --  <dref>TransportMulticastMappingFunction_t</dref> 
  2289.    --  <dref name="dll">TransportMulticastMappingFunction_t_dll</dref> 
  2290.    --  <dref name="function_name">TransportMulticastMappingFunction_t_function_name</dref> 
  2291.  
  2292.    TRANSPORT_MULTICAST_MAPPING_FUNCTION_DEFAULT : constant TransportMulticastMappingFunction_T := (others => <>); 
  2293.  
  2294.  
  2295.    --  ------------------------------------------------- 
  2296.    --              TransportMulticastMapping_t 
  2297.    ----------------------------------------------------- 
  2298.  
  2299.    type TransportMulticastMapping_T is record 
  2300.       Addresses          : DDS.String; 
  2301.       Topic_Expression   : DDS.String; 
  2302.       Mapping_Function   : TransportMulticastMappingFunction_T; 
  2303.    end record with 
  2304.      Convention => C; 
  2305.    --  <dref>TransportMulticastMapping_t</dref> 
  2306.    --  <dref name="addresses">TransportMulticastMapping_t_addresses</dref> 
  2307.    --  <dref name="topic_expression">TransportMulticastMapping_t_topic_expression</dref> 
  2308.    --  <dref name="mapping_function">TransportMulticastMapping_t_mapping_function</dref> 
  2309.  
  2310.    TRANSPORT_MULTICAST_MAPPING_DEFAULT : constant TransportMulticastMapping_T := (others => <>); 
  2311.  
  2312.    type TransportMulticastMapping_T_Access is access all TransportMulticastMapping_T; 
  2313.    type TransportMulticastMapping_T_Array is array 
  2314.      (Natural range <>) of aliased TransportMulticastMapping_T; 
  2315.    procedure Initialize (Self  : in out TransportMulticastMapping_T); 
  2316.    procedure Finalize (Self  : in out TransportMulticastMapping_T); 
  2317.    procedure Copy (Dst : in out TransportMulticastMapping_T; 
  2318.                    Src : in TransportMulticastMapping_T); 
  2319.  
  2320.    package TransportMulticastMapping_Seq is new DDS_Support.Sequences_Generic 
  2321.      (TransportMulticastMapping_T, 
  2322.       TransportMulticastMapping_T_Access, 
  2323.       DDS.Natural, 
  2324.       1, 
  2325.       TransportMulticastMapping_T_Array); 
  2326.    --  <dref>TransportMulticastMappingSeq</dref> 
  2327.  
  2328.  
  2329.    --  ------------------------------------------------- 
  2330.    --                 TransportEncapsulationSettings 
  2331.    --  ------------------------------------------------- 
  2332.  
  2333.    type EncapsulationId_T is new Unsigned_Short; 
  2334.    --  <defgroup internal="true">TransportEncapsulationSettingsGroupDocs</defgroup> 
  2335.    --  <dref internal="true">EncapsulationId_t</dref> 
  2336.  
  2337.    type EncapsulationId_T_Access is access all EncapsulationId_T; 
  2338.    type EncapsulationId_T_Array is array 
  2339.      (Natural range <>) of aliased EncapsulationId_T; 
  2340.    procedure Initialize (Self  : in out EncapsulationId_T); 
  2341.    procedure Finalize (Self  : in out EncapsulationId_T); 
  2342.    procedure Copy (Dst : in out EncapsulationId_T; 
  2343.                    Src : in EncapsulationId_T); 
  2344.  
  2345.    package EncapsulationId_Seq is new DDS_Support.Sequences_Generic 
  2346.      (EncapsulationId_T, 
  2347.       EncapsulationId_T_Access, 
  2348.       DDS.Natural, 
  2349.       1, 
  2350.       EncapsulationId_T_Array); 
  2351.    --  <dref internal="true">EncapsulationIdSeq</dref> 
  2352.  
  2353.    ENCAPSULATION_ID_CDR_BE : constant EncapsulationId_T := 0; 
  2354.    --  <dref internal="true">ENCAPSULATION_ID_CDR_BE</dref> 
  2355.  
  2356.    ENCAPSULATION_ID_CDR_LE : constant EncapsulationId_T := 1; 
  2357.    --  <dref internal="true">ENCAPSULATION_ID_CDR_LE</dref> 
  2358.  
  2359.    ENCAPSULATION_ID_CDR_NATIVE : constant EncapsulationId_T := System.Bit_Order'Pos (System.Default_Bit_Order); 
  2360.    --  <dref internal="true">ENCAPSULATION_ID_CDR_NATIVE</dref> 
  2361.  
  2362.    ENCAPSULATION_ID_CDR2_BE : constant EncapsulationId_T := 0; 
  2363.    --  <dref internal="true">ENCAPSULATION_ID_CDR2_BE</dref> 
  2364.  
  2365.    ENCAPSULATION_ID_CDR2_LE : constant EncapsulationId_T := 1; 
  2366.    --  <dref internal="true">ENCAPSULATION_ID_CDR2_LE</dref> 
  2367.  
  2368.    ENCAPSULATION_ID_CDR2_NATIVE : constant EncapsulationId_T := System.Bit_Order'Pos (System.Default_Bit_Order); 
  2369.    --  <dref internal="true">ENCAPSULATION_ID_CDR2_NATIVE</dref> 
  2370.  
  2371.    ENCAPSULATION_ID_SHMEM_REF_PLAIN : EncapsulationId_T := 16#C000#; 
  2372.    --  <dref internal="true">ENCAPSULATION_ID_SHMEM_REF_PLAIN</dref> 
  2373.  
  2374.    ENCAPSULATION_ID_SHMEM_REF_FLAT_DATA : EncapsulationId_T := 16#C001#; 
  2375.    --  <dref internal="true">ENCAPSULATION_ID_SHMEM_REF_FLAT_DATA</dref> 
  2376.  
  2377.    type TransportEncapsulationSettings_T is record 
  2378.       Transports     : aliased DDS.String_Seq.Sequence; 
  2379.       Encapsulations : aliased EncapsulationId_Seq.Sequence; 
  2380.    end record with 
  2381.      Convention => C; 
  2382.    --  <dref internal="true">TransportEncapsulationSettings_t</dref> 
  2383.    --  <dref internal="true" name="Transports">TransportEncapsulationSettings_t_transports</dref> 
  2384.    --  <dref internal="true" name="Encapsulations">TransportEncapsulationSettings_t_encapsulations</dref> 
  2385.  
  2386.    --     TRANSPORT_ENCAPSULATION_SETTINGS_DEFAULT : constant TransportEncapsulationSettings_T := 
  2387.    --                   (Transports => DDS.String_Seq.DEFAULT_SEQUENCE, 
  2388.    --                Encapsulations => EncapsulationId_Seq.DEFAULT_SEQUENCE); 
  2389.  
  2390.    type TransportEncapsulationSettings_T_Access is access all TransportEncapsulationSettings_T; 
  2391.    type TransportEncapsulationSettings_T_Array is array 
  2392.      (Natural range <>) of aliased TransportEncapsulationSettings_T; 
  2393.    procedure Initialize (Self  : in out TransportEncapsulationSettings_T); 
  2394.    procedure Finalize (Self  : in out TransportEncapsulationSettings_T); 
  2395.    procedure Copy (Dst : in out TransportEncapsulationSettings_T; 
  2396.                    Src : in TransportEncapsulationSettings_T); 
  2397.  
  2398.    package TransportEncapsulationSettings_Seq is new DDS_Support.Sequences_Generic 
  2399.      (TransportEncapsulationSettings_T, 
  2400.       TransportEncapsulationSettings_T_Access, 
  2401.       DDS.Natural, 
  2402.       1, 
  2403.       TransportEncapsulationSettings_T_Array); 
  2404.    --  <dref internal="true">TransportEncapslationSettingsSeq</dref> 
  2405.  
  2406.    --  ------------------------------------------------- 
  2407.    --                 DATA_REPRESENTATION 
  2408.    --  ------------------------------------------------- 
  2409.  
  2410.    type DataRepresentationId_T is new RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_DataRepresentationId_t 
  2411.      with Convention => C; 
  2412.    --  <defgroup>DataRepresentationQosGroupDocs</defgroup> 
  2413.    --  <dref>DataRepresentationId_t</dref> 
  2414.  
  2415.    XCDR_DATA_REPRESENTATION : aliased constant DataRepresentationId_T := 
  2416.                                 DataRepresentationId_T (RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_XCDR_DATA_REPRESENTATION); 
  2417.  
  2418.    XML_DATA_REPRESENTATION  : aliased constant DataRepresentationId_T := 
  2419.                                 DataRepresentationId_T (RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_XML_DATA_REPRESENTATION); 
  2420.  
  2421.    XCDR2_DATA_REPRESENTATION : aliased constant DataRepresentationId_T := 
  2422.                                  DataRepresentationId_T (RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_XCDR2_DATA_REPRESENTATION); 
  2423.  
  2424.    AUTO_DATA_REPRESENTATION : aliased constant DataRepresentationId_T := 
  2425.                                 DataRepresentationId_T (RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_XCDR2_DATA_REPRESENTATION); 
  2426.  
  2427.    type DataRepresentationId_T_Access is access all DataRepresentationId_T; 
  2428.    type DataRepresentationId_T_Array is array 
  2429.      (Natural range <>) of aliased DataRepresentationId_T; 
  2430.    procedure Initialize (Self : in out DataRepresentationId_T); 
  2431.    procedure Finalize   (Self : in out DataRepresentationId_T); 
  2432.    procedure Copy (Dst : in out DataRepresentationId_T; 
  2433.                    Src : in DataRepresentationId_T); 
  2434.  
  2435.    package DataRepresentationId_Seq is new DDS_Support.Sequences_Generic 
  2436.      (DataRepresentationId_T, 
  2437.       DataRepresentationId_T_Access, 
  2438.       DDS.Natural, 
  2439.       1, 
  2440.       DataRepresentationId_T_Array); 
  2441.    --  <dref>DataRepresentationIdSeq</dref> 
  2442.  
  2443.    type DataRepresentationQosPolicy is limited record 
  2444.       Value                : aliased DataRepresentationId_Seq.Sequence; 
  2445.       Compression_Settings : aliased CompressionSettings_T := COMPRESSION_SETTINGS_T_DEFAULT; 
  2446.    end record with Convention => C; 
  2447.    pragma Compile_Time_Error (DataRepresentationQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_DataRepresentationQosPolicy'Size, "DataRepresentationQosPolicy size missmatch."); 
  2448.  
  2449.    --  <dref>DataRepresentationQosPolicy</dref> 
  2450.    --  <dref name="Value">DataRepresentationQosPolicy_value</dref> 
  2451.    --  <dref name="Compression_Settings">DataRepresentationQosPolicy_compression_settings</dref> 
  2452.  
  2453.    function Contains 
  2454.      (Policy : in DataRepresentationQosPolicy; 
  2455.       Id     : in DataRepresentationId_T) return Standard.Boolean; 
  2456.    --  <dref internal="true">DataRepresentationQosPolicy_contains</dref> 
  2457.  
  2458.    function Equals 
  2459.      (Left, Right : in DataRepresentationQosPolicy) return Standard.Boolean; 
  2460.    --  <dref internal="true">DataRepresentationQosPolicy_equals</dref> 
  2461.  
  2462.    function "=" 
  2463.      (Left, Right : in DataRepresentationQosPolicy) return Standard.Boolean 
  2464.        renames Equals; 
  2465.    --  <dref internal="true">DataRepresentationQosPolicy_equals</dref> 
  2466.  
  2467.    function Get_Native_Encapsulation 
  2468.      (Id : in DataRepresentationId_T) return EncapsulationId_T; 
  2469.    pragma Import (C, Get_Native_Encapsulation, "DDS_DataRepresentationQosPolicy_getNativeEncapsulation"); 
  2470.    --  <dref>DataRepresentationQosPolicy_getNativeEncapsulation</dref> 
  2471.  
  2472.  
  2473.    --  -------------------------------------------------- 
  2474.    --                 TRANSPORT_SELECTION (eXtension QoS) 
  2475.    --  -------------------------------------------------- 
  2476.  
  2477.    TRANSPORTSELECTION_QOS_POLICY_NAME : constant DDS.String  := To_DDS_String ("TransportSelection"); 
  2478.    --  <defgroup>TransportSelectionQosGroupDocs</defgroup> 
  2479.    --  <dref>TRANSPORTSELECTION_QOS_POLICY_NAME</dref> 
  2480.  
  2481.    type TransportSelectionQosPolicy is record 
  2482.       Enabled_Transports : aliased DDS.String_Seq.Sequence := DDS.String_Seq.DEFAULT_SEQUENCE; 
  2483.    end record with Convention => C; 
  2484.    pragma Compile_Time_Error (TransportSelectionQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_TransportSelectionQosPolicy'Size, "TransportSelectionQosPolicy size missmatch."); 
  2485.  
  2486.    --  <dref>TransportSelectionQosPolicy</dref> 
  2487.    --  <dref name="Enabled_Transports">TransportSelectionQosPolicy_enabled_transports</dref> 
  2488.  
  2489.    TRANSPORT_SELECTION_QOS_POLICY_DEFAULT : constant TransportSelectionQosPolicy := (others => <>); 
  2490.  
  2491.  
  2492.    --  -------------------------------------------------- 
  2493.    --                 TRANSPORT_UNICAST (eXtension QoS) 
  2494.    --  -------------------------------------------------- 
  2495.  
  2496.    TRANSPORTUNICAST_QOS_POLICY_NAME : constant DDS.String := To_DDS_String ("TransportUnicast"); 
  2497.    --  <defgroup>TransportUnicastQosGroupDocs</defgroup> 
  2498.    --  <dref>TRANSPORTUNICAST_QOS_POLICY_NAME</dref> 
  2499.  
  2500.    type TransportUnicastQosPolicy is record 
  2501.       Value :  TransportUnicastSettings_Seq.Sequence; 
  2502.    end record with Convention => C; 
  2503.    pragma Compile_Time_Error (TransportUnicastQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_TransportUnicastQosPolicy'Size, "TransportUnicastQosPolicy size missmatch."); 
  2504.  
  2505.    --  <dref>TransportUnicastQosPolicy</dref> 
  2506.    --  <dref name="Value">TransportUnicastQosPolicy_value</dref> 
  2507.  
  2508.    TRANSPORT_UNICAST_QOS_POLICY_DEFAULT : constant TransportUnicastQosPolicy := (others => <>); 
  2509.  
  2510.    --  -------------------------------------------------- 
  2511.    --                 TRANSPORT_MULTICAST (eXtension QoS) 
  2512.    --  -------------------------------------------------- 
  2513.  
  2514.    TRANSPORTMULTICAST_QOS_POLICY_NAME : constant DDS.String := To_DDS_String ("TransportMulticast"); 
  2515.    --  <defgroup>TransportMulticastQosGroupDocs</defgroup> 
  2516.    --  <dref>TRANSPORTMULTICAST_QOS_POLICY_NAME</dref> 
  2517.  
  2518.    type TransportMulticastQosPolicyKind is new Unsigned_Long; 
  2519.    --  <dref>TransportMulticastQosPolicyKind</dref> 
  2520.  
  2521.    AUTOMATIC_TRANSPORT_MULTICAST_QOS : constant TransportMulticastQosPolicyKind := 0; 
  2522.    --  <dref>TransportMulticastQosPolicyKind_AUTOMATIC_TRANSPORT_MULTICAST_QOS</dref> 
  2523.  
  2524.    UNICAST_ONLY_TRANSPORT_MULTICAST_QOS : constant TransportMulticastQosPolicyKind := 1; 
  2525.    --  <dref>TransportMulticastQosPolicyKind_UNICAST_ONLY_TRANSPORT_MULTICAST_QOS</dref> 
  2526.  
  2527.  
  2528.    type TransportMulticastQosPolicy is record 
  2529.       Value : TransportMulticastSettings_Seq.Sequence := TransportMulticastSettings_Seq.DEFAULT_SEQUENCE; 
  2530.       Kind  : TransportMulticastQosPolicyKind := AUTOMATIC_TRANSPORT_MULTICAST_QOS; 
  2531.    end record with Convention => C; 
  2532.    pragma Compile_Time_Error (TransportMulticastQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_TransportMulticastQosPolicy'Size, "TransportMulticastQosPolicy size missmatch."); 
  2533.  
  2534.    --  <dref>TransportMulticastQosPolicy</dref> 
  2535.    --  <dref name="Value">TransportMulticastQosPolicy_value</dref> 
  2536.    --  <dref name="Kind">TransportMulticastQosPolicy_kind</dref> 
  2537.  
  2538.    --     TRANSPORT_MULTICAST_QOS_POLICY_DEFAULT : constant TransportMulticastQosPolicy := 
  2539.    --                                                (Value => TransportMulticastSettings_Seq.DEFAULT_SEQUENCE); 
  2540.    -- 
  2541.  
  2542.    --  ------------------------------------------------------ 
  2543.    --                 TRANSPORT_MULTICAST_MAPPING (eXtension QoS) 
  2544.    --  ------------------------------------------------------ 
  2545.  
  2546.    TRANSPORTMULTICASTMAPPING_QOS_POLICY_NAME : constant DDS.String := To_DDS_String ("TransportMulticastMapping"); 
  2547.    --  <defgroup>TransportMulticastMappingQosGroupDocs</defgroup> 
  2548.    --  <dref>TRANSPORTMULTICASTMAPPING_QOS_POLICY_NAME</dref> 
  2549.  
  2550.    type TransportMulticastMappingQosPolicy is record 
  2551.       Value : TransportMulticastMapping_Seq.Sequence; 
  2552.    end record with Convention => C; 
  2553.    pragma Compile_Time_Error (TransportMulticastMappingQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_TransportMulticastMappingQosPolicy'Size, "TransportMulticastMappingQosPolicy size missmatch."); 
  2554.  
  2555.    --  <dref>TransportMulticastMappingQosPolicy</dref> 
  2556.    --  <dref name="Value">TransportMulticastMappingQosPolicy_value</dref> 
  2557.  
  2558.    --  TRANSPORT_MULTICAST_MAPPING_QOS_POLICY_DEFAULT : constant TransportMulticastMappingQosPolicy := 
  2559.    --                                      (Value => TransportMulticastMapping_Seq.DEFAULT_SEQUENCE); 
  2560.  
  2561.  
  2562.    --  ------------------------------------------------------ 
  2563.    --                 TRANSPORT_ENCAPSULATION (eXtension QoS) 
  2564.    --  ------------------------------------------------------ 
  2565.  
  2566.    TRANSPORTENCAPSULATION_QOS_POLICY_NAME : constant DDS.String := To_DDS_String ("TransportEncapsulation"); 
  2567.    --  <defgroup internal="true">TransportEncapsulationQosGroupDocs</defgroup> 
  2568.    --  <dref internal="true">TRANSPORTENCAPSULATION_QOS_POLICY_NAME</dref> 
  2569.  
  2570.    type TransportEncapsulationQosPolicy is record 
  2571.       Value : aliased TransportEncapsulationSettings_Seq.Sequence; 
  2572.    end record with Convention => C; 
  2573.    pragma Compile_Time_Error (TransportEncapsulationQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_TransportEncapsulationQosPolicy'Size, "TransportEncapsulationQosPolicy size missmatch."); 
  2574.  
  2575.    --  <dref internal="true">TransportEncapsulationQosPolicy</dref> 
  2576.    --  <dref internal="true" name="Value">TransportEncapsulationQosPolicy_value</dref> 
  2577.  
  2578.    --     TRANSPORT_ENCAPSULATION_QOS_POLICY_DEFAULT : constant TransportEncapsulationQosPolicy := 
  2579.    --                   (Value => TransportEncapsulationSettings_Seq.DEFAULT_SEQUENCE); 
  2580.  
  2581.    --  ------------------------------------------------------ 
  2582.    --                 DISCOVERY (eXtension QoS) 
  2583.    --  ------------------------------------------------------ 
  2584.  
  2585.    DISCOVERY_QOS_POLICY_NAME : constant DDS.String := To_DDS_String ("Discovery"); 
  2586.    --  <defgroup>DiscoveryQosGroupDocs</defgroup> 
  2587.    --  <dref>DISCOVERY_QOS_POLICY_NAME</dref> 
  2588.    --  <defgroup>NDDS_DISCOVERY_PEERS</defgroup> 
  2589.  
  2590.    type DiscoveryQosPolicy is record 
  2591.       Enabled_Transports                          : aliased String_Seq.Sequence; 
  2592.       Initial_Peers                               : aliased String_Seq.Sequence; 
  2593.       Multicast_Receive_Addresses                 : aliased String_Seq.Sequence; 
  2594.       Metatraffic_Transport_Priority              : aliased Long := 0; 
  2595.       Accept_Unknown_Peers                        : aliased DDS.Boolean := True; 
  2596.       Enable_Endpoint_Discovery                   : aliased DDS.Boolean := True; 
  2597.    end record with 
  2598.      Convention => C; 
  2599.    --  <dref>DiscoveryQosPolicy</dref> 
  2600.    --  <dref name="Enabled_Transports">DiscoveryQosPolicy_enabled_transports</dref> 
  2601.    --  <dref name="Initial_Peers">DiscoveryQosPolicy_initial_peers</dref> 
  2602.    --  <dref name="Multicast_Receive_Addresses">DiscoveryQosPolicy_multicast_receive_addresses</dref> 
  2603.    --  <dref name="Metatraffic_Transport_Priority">DiscoveryQosPolicy_metatraffic_transport_priority</dref> 
  2604.    --  <dref name="Accept_Unknown_Peers">DiscoveryQosPolicy_accept_unknown_peers</dref> 
  2605.    --  <dref name="Enable_Endpoint_Discovery">DiscoveryQosPolicy_enable_endpoint_discovery</dref> 
  2606.  
  2607.    DISCOVERY_QOS_POLICY_DEFAULT : constant  DiscoveryQosPolicy := (others => <>); 
  2608.  
  2609.    --  ------------------------------------------------------ 
  2610.    --                 Discovery_ParticipantInformation 
  2611.    --  ------------------------------------------------------ 
  2612.  
  2613.    type Discovery_ParticipantInformation is record 
  2614.       Participant_Discovery_Id         : aliased Long := 0; 
  2615.       Participant_Discovery_Version    : aliased Long := 0; 
  2616.       Participant_Discovery_Vendor_Id  : aliased Long := 0; 
  2617.       Participant_Discovery_Parameters : aliased Octet_Seq.Sequence; 
  2618.    end record with 
  2619.      Convention => C; 
  2620.    --  <dref internal="true">Discovery_ParticipantInformation</dref> 
  2621.    --  <dref internal="true" name="Participant_Discovery_Id">Discovery_ParticipantInformation_participant_discovery_id</dref> 
  2622.    --  <dref internal="true" name="Participant_Discovery_Version">Discovery_ParticipantInformation_participant_discovery_version</dref> 
  2623.    --  <dref internal="true" name="Participant_Discovery_Vendor_Id">Discovery_ParticipantInformation_participant_discovery_vendor_id</dref> 
  2624.    --  <dref internal="true" name="Participant_Discovery_Parameters">Discovery_ParticipantInformation_participant_parameters</dref> 
  2625.  
  2626.    type Discovery_ParticipantInformation_Access is access all Discovery_ParticipantInformation; 
  2627.    type Discovery_ParticipantInformation_Array is array 
  2628.      (Natural range <>) of aliased Discovery_ParticipantInformation; 
  2629.    procedure Initialize (Self  : in out Discovery_ParticipantInformation); 
  2630.    procedure Finalize (Self  : in out Discovery_ParticipantInformation); 
  2631.    procedure Copy (Dst : in out Discovery_ParticipantInformation; 
  2632.                    Src : in Discovery_ParticipantInformation); 
  2633.  
  2634.    package Discovery_ParticipantInformationSeq is new DDS_Support.Sequences_Generic 
  2635.      (Discovery_ParticipantInformation, 
  2636.       Discovery_ParticipantInformation_Access, 
  2637.       DDS.Natural, 
  2638.       1, 
  2639.       Discovery_ParticipantInformation_Array); 
  2640.    --  <dref internal="true">Discovery_ParticipantInformationSeq</dref> 
  2641.  
  2642.    --  ------------------------------------------------------ 
  2643.    --                 Discovery_EndpointInformation 
  2644.    --  ------------------------------------------------------ 
  2645.  
  2646.    type Discovery_EndpointInformation is record 
  2647.       Endpoint_Discovery_Id         : aliased Long := 0; 
  2648.       Endpoint_Discovery_Version    : aliased Long := 0; 
  2649.       Endpoint_Discovery_Vendor_Id  : aliased Long := 0; 
  2650.       Endpoint_Discovery_Parameters : aliased Octet_Seq.Sequence; 
  2651.    end record with Convention => C; 
  2652.    pragma Compile_Time_Error (Discovery_EndpointInformation'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_Discovery_EndpointInformation'Size, "Discovery_EndpointInformation size missmatch."); 
  2653.  
  2654.    --  <dref internal="true">Discovery_EndpointInformation</dref> 
  2655.    --  <dref internal="true" name="Endpoint_Discovery_Id">Discovery_EndpointInformation_participant_discovery_id</dref> 
  2656.    --  <dref internal="true" name="Endpoint_Discovery_Version">Discovery_EndpointInformation_participant_discovery_version</dref> 
  2657.    --  <dref internal="true" name="Endpoint_Discovery_Vendor_Id">Discovery_EndpointInformation_participant_discovery_vendor_id</dref> 
  2658.    --  <dref internal="true" name="Endpoint_Discovery_Parameters">Discovery_EndpointInformation_participant_parameters</dref> 
  2659.  
  2660.    type Discovery_EndpointInformation_Access is access all Discovery_EndpointInformation; 
  2661.    type Discovery_EndpointInformation_Array is array 
  2662.      (Natural range <>) of aliased Discovery_EndpointInformation; 
  2663.    procedure Initialize (Self  : in out Discovery_EndpointInformation); 
  2664.    procedure Finalize (Self  : in out Discovery_EndpointInformation); 
  2665.    procedure Copy (Dst : in out Discovery_EndpointInformation; 
  2666.                    Src : in Discovery_EndpointInformation); 
  2667.  
  2668.    package Discovery_EndpointInformationSeq is new DDS_Support.Sequences_Generic 
  2669.      (Discovery_EndpointInformation, 
  2670.       Discovery_EndpointInformation_Access, 
  2671.       DDS.Natural, 
  2672.       1, 
  2673.       Discovery_EndpointInformation_Array); 
  2674.    --  <dref internal="true">Discovery_EndpointInformationSeq</dref> 
  2675.  
  2676.    --  ------------------------------------------------------ 
  2677.    --                 TRANSPORTBUILTIN (eXtension QoS) 
  2678.    --  ------------------------------------------------------ 
  2679.  
  2680.    TRANSPORTBUILTIN_QOS_POLICY_NAME : constant DDS.String := To_DDS_String ("TransportBuiltin"); 
  2681.    --  <defgroup>TransportBuiltinQosGroupDocs</defgroup> 
  2682.    --  <dref>TRANSPORTBUILTIN_QOS_POLICY_NAME</dref> 
  2683.  
  2684.    type TransportBuiltinKind is new Unsigned_Long; 
  2685.    --  <dref>TransportBuiltinKind</dref> 
  2686.  
  2687.    TRANSPORTBUILTIN_UDPv4 : constant TransportBuiltinKind := 2 ** 0; 
  2688.    --  <dref>TransportBuiltinKind_TRANSPORTBUILTIN_UDPv4</dref> 
  2689.  
  2690.    TRANSPORTBUILTIN_SHMEM : constant TransportBuiltinKind := 2 ** 1; 
  2691.    --  <dref>TransportBuiltinKind_TRANSPORTBUILTIN_SHMEM</dref> 
  2692.  
  2693.    TRANSPORTBUILTIN_INTRA : constant TransportBuiltinKind := 2 ** 2; 
  2694.    --  <dref internal="true">TransportBuiltinKind_TRANSPORTBUILTIN_INTRA</dref> 
  2695.  
  2696.    TRANSPORTBUILTIN_UDPv6 : constant TransportBuiltinKind := 2 ** 3; 
  2697.    --  <dref>TransportBuiltinKind_TRANSPORTBUILTIN_UDPv6</dref> 
  2698.  
  2699.    TRANSPORTBUILTIN_INTRA_ALIAS : constant DDS.String := To_DDS_String ("builtin.intra"); 
  2700.    --  <dref internal="true">TransportBuiltinKind_INTRA_ALIAS</dref> 
  2701.  
  2702.    TRANSPORTBUILTIN_SHMEM_ALIAS : constant DDS.String := To_DDS_String ("builtin.shmem"); 
  2703.    --  <dref>TransportBuiltinKind_SHMEM_ALIAS</dref> 
  2704.  
  2705.  
  2706.    TRANSPORTBUILTIN_UDPv4_ALIAS : constant DDS.String := To_DDS_String ("builtin.udpv4"); 
  2707.    --  <dref>TransportBuiltinKind_UDPv4_ALIAS</dref> 
  2708.  
  2709.  
  2710.    TRANSPORTBUILTIN_UDPv6_ALIAS : constant DDS.String := To_DDS_String ("builtin.udpv"); 
  2711.    --  <dref>TransportBuiltinKind_UDPv6_ALIAS</dref> 
  2712.  
  2713.  
  2714.    subtype TransportBuiltinKindMask is TransportBuiltinKind; 
  2715.    --  <dref>TransportBuiltinKindMask</dref> 
  2716.  
  2717.    TRANSPORTBUILTIN_MASK_NONE : constant TransportBuiltinKindMask := 0; 
  2718.    --  <dref>TransportBuiltinKindMask_NONE</dref> 
  2719.  
  2720.    TRANSPORTBUILTIN_MASK_DEFAULT : constant TransportBuiltinKindMask := 
  2721.                                      (TRANSPORTBUILTIN_UDPv4 or TRANSPORTBUILTIN_SHMEM); 
  2722.    --  <dref>TransportBuiltinKindMask_DEFAULT</dref> 
  2723.  
  2724.    TRANSPORTBUILTIN_MASK_ALL  : constant TransportBuiltinKindMask := 16#FFFF_FFFF#; 
  2725.    --  <dref>TransportBuiltinKindMask_ALL</dref> 
  2726.  
  2727.    type TransportBuiltinQosPolicy is record 
  2728.       Mask : TransportBuiltinKindMask; 
  2729.    end record with Convention => C; 
  2730.    pragma Compile_Time_Error (TransportBuiltinQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_TransportBuiltinQosPolicy'Size, "TransportBuiltinQosPolicy size missmatch."); 
  2731.  
  2732.    --  <dref>TransportBuiltinQosPolicy</dref> 
  2733.    --  <dref name="Mask">TransportBuiltinQosPolicy_mask</dref> 
  2734.  
  2735.    TRANSPORT_BUILTIN_QOS_POLICY_DEFAULT : constant TransportBuiltinQosPolicy := 
  2736.                                             (Mask => TRANSPORTBUILTIN_MASK_DEFAULT); 
  2737.    --  <dref internal="true"></dref> 
  2738.  
  2739.    --  ------------------------------------------------------ 
  2740.    --                 WIRE_PROTOCOL (eXtension QoS) 
  2741.    --  ------------------------------------------------------ 
  2742.  
  2743.    type RtpsWellKnownPorts_T is record 
  2744.       Port_Base                     : aliased Long := 7400; 
  2745.       Domain_Id_Gain                : aliased Long := 10; 
  2746.       Participant_Id_Gain           : aliased Long := 1000; 
  2747.       Builtin_Multicast_Port_Offset : aliased Long := 2; 
  2748.       Builtin_Unicast_Port_Offset   : aliased Long := 0; 
  2749.       User_Multicast_Port_Offset    : aliased Long := 1; 
  2750.       User_Unicast_Port_Offset      : aliased Long := 3; 
  2751.    end record with 
  2752.      Convention => C; 
  2753.    --  <defgroup>WireProtocolQosGroupDocs</defgroup> 
  2754.    --  <dref>RtpsWellKnownPorts_t</dref> 
  2755.    --  <dref name="Port_Base">RtpsWellKnownPorts_t_port_base</dref> 
  2756.    --  <dref name="Domain_Id_Gain">RtpsWellKnownPorts_t_domain_id_gain</dref> 
  2757.    --  <dref name="Participant_Id_Gain">RtpsWellKnownPorts_t_participant_id_gain</dref> 
  2758.    --  <dref name="Builtin_Multicast_Port_Offset">RtpsWellKnownPorts_t_builtin_multicast_port_offset</dref> 
  2759.    --  <dref name="Builtin_Unicast_Port_Offset">RtpsWellKnownPorts_t_builtin_unicast_port_offset</dref> 
  2760.    --  <dref name="User_Multicast_Port_Offset">RtpsWellKnownPorts_t_user_multicast_port_offset</dref> 
  2761.    --  <dref name="User_Unicast_Port_Offset">RtpsWellKnownPorts_t_user_unicast_port_offset</dref> 
  2762.  
  2763.    RTI_BACKWARDS_COMPATIBLE_RTPS_WELL_KNOWN_PORTS : constant RtpsWellKnownPorts_T :=  (others => <>); 
  2764.    INTEROPERABLE_RTPS_WELL_KNOWN_PORTS : constant RtpsWellKnownPorts_T 
  2765.      := (Domain_Id_Gain                =>  250, 
  2766.          Builtin_Unicast_Port_Offset   =>  10, 
  2767.          User_Unicast_Port_Offset      =>  11, 
  2768.          others                        => <>); 
  2769.    --  <dref>INTEROPERABLE_RTPS_WELL_KNOWN_PORTS</dref> 
  2770.  
  2771.  
  2772.    RTPS_WELL_KNOWN_PORTS_DEFAULT : constant RtpsWellKnownPorts_T := (others => <>); 
  2773.    --  <dref internal="true"></dref> 
  2774.  
  2775.    type RtpsReservedPortKind is new Unsigned_Long; 
  2776.    --  <dref>RtpsReservedPortKind</dref> 
  2777.  
  2778.    RTPS_RESERVED_PORT_BUILTIN_UNICAST   : constant RtpsReservedPortKind := 2#0001#; 
  2779.    --  <dref>RtpsReservedPortKind_BUILTIN_UNICAST</dref> 
  2780.  
  2781.    RTPS_RESERVED_PORT_BUILTIN_MULTICAST : constant RtpsReservedPortKind := 2#0010#; 
  2782.    --  <dref>RtpsReservedPortKind_BUILTIN_MULTICAST</dref> 
  2783.  
  2784.    RTPS_RESERVED_PORT_USER_UNICAST      : constant RtpsReservedPortKind := 2#0100#; 
  2785.    --  <dref>RtpsReservedPortKind_USER_UNICAST</dref> 
  2786.  
  2787.    RTPS_RESERVED_PORT_USER_MULTICAST    : constant RtpsReservedPortKind := 2#1000#; 
  2788.    --  <dref>RtpsReservedPortKind_USER_MULTICAST</dref> 
  2789.  
  2790.    subtype RtpsReservedPortKindMask is RtpsReservedPortKind; 
  2791.    --  <dref>RtpsReservedPortKindMask</dref> 
  2792.  
  2793.    RTPS_RESERVED_PORT_MASK_DEFAULT : constant RtpsReservedPortKindMask := 
  2794.                                        (RTPS_RESERVED_PORT_BUILTIN_UNICAST or RTPS_RESERVED_PORT_BUILTIN_MULTICAST or 
  2795.                                                                             RTPS_RESERVED_PORT_USER_UNICAST); 
  2796.    --  <dref>RTPS_RESERVED_PORT_MASK_DEFAULT</dref> 
  2797.  
  2798.    RTPS_RESERVED_PORT_MASK_NONE  : constant RtpsReservedPortKindMask := 0; 
  2799.    --  <dref>RTPS_RESERVED_PORT_MASK_NONE</dref> 
  2800.  
  2801.    RTPS_RESERVED_PORT_MASK_ALL   : constant RtpsReservedPortKindMask := 16#FFFF_FFFF#; 
  2802.    --  <dref>RTPS_RESERVED_PORT_MASK_ALL</dref> 
  2803.  
  2804.    WIREPROTOCOL_QOS_POLICY_NAME  : constant DDS.String := To_DDS_String ("WireProtocol"); 
  2805.    --  <dref>WIREPROTOCOL_QOS_POLICY_NAME</dref> 
  2806.  
  2807.  
  2808.    type WireProtocolQosPolicyAutoKind is new Unsigned_Long; 
  2809.    --  <dref>WireProtocolQosPolicyAutoKind</dref> 
  2810.  
  2811.    RTPS_AUTO_ID_FROM_IP : constant WireProtocolQosPolicyAutoKind := 0; 
  2812.    --  <dref>WireProtocolQosPolicyAutoKind_RTPS_AUTO_ID_FROM_IP</dref> 
  2813.  
  2814.    RTPS_AUTO_ID_FROM_MAC : constant WireProtocolQosPolicyAutoKind := 1; 
  2815.    --  <dref>WireProtocolQosPolicyAutoKind_RTPS_AUTO_ID_FROM_MAC</dref> 
  2816.  
  2817.    RTPS_AUTO_ID    : constant Unsigned_Long := 0; 
  2818.  
  2819.    type WireProtocolQosPolicy is record 
  2820.       Participant_Id          : aliased Long := -1; 
  2821.       Rtps_Host_Id            : aliased Unsigned_Long := RTPS_AUTO_ID; 
  2822.       Rtps_App_Id             : aliased Unsigned_Long := RTPS_AUTO_ID; 
  2823.       Rtps_Instance_Id        : aliased Unsigned_Long := RTPS_AUTO_ID; 
  2824.       Rtps_Well_Known_Ports   : aliased RtpsWellKnownPorts_T := RTPS_WELL_KNOWN_PORTS_DEFAULT; 
  2825.       Rtps_Reserved_Port_Mask : aliased Long := 0; 
  2826.       Rtps_Auto_Id_Kind       : aliased WireProtocolQosPolicyAutoKind := RTPS_AUTO_ID_FROM_IP; 
  2827.       Compute_Crc             : aliased Boolean := False; 
  2828.       Check_Crc               : aliased Boolean := False; 
  2829.    end record with Convention => C; 
  2830.    pragma Compile_Time_Error (WireProtocolQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_WireProtocolQosPolicy'Size, "WireProtocolQosPolicy size missmatch."); 
  2831.  
  2832.    --  <dref>WireProtocolQosPolicy</dref> 
  2833.    --  <dref name="Participant_Id">WireProtocolQosPolicy_participant_id</dref> 
  2834.    --  <dref name="Rtps_Host_Id">WireProtocolQosPolicy_rtps_host_id</dref> 
  2835.    --  <dref name="Rtps_App_Id">WireProtocolQosPolicy_rtps_app_id</dref> 
  2836.    --  <dref name="Rtps_Instance_Id">WireProtocolQosPolicy_rtps_instance_id</dref> 
  2837.    --  <dref name="Rtps_Well_Known_Ports">WireProtocolQosPolicy_rtps_well_known_ports</dref> 
  2838.    --  <dref name="rtps_reserved_port_mask">WireProtocolQosPolicy_rtps_reserved_port_mask</dref> 
  2839.    --  <dref name="rtps_auto_id_kind">WireProtocolQosPolicy_rtps_auto_id_kind</dref> 
  2840.  
  2841.    --  <dref>WireProtocolQosPolicy_RTPS_AUTO_ID</dref> 
  2842.  
  2843.    WIRE_PROTOCOL_QOS_POLICY_DEFAULT : constant WireProtocolQosPolicy := (others => <>); 
  2844.    --  <dref internal="true"></dref> 
  2845.  
  2846.    --  ------------------------------------------------------ 
  2847.    --                 Locator_t 
  2848.    --  ------------------------------------------------------ 
  2849.  
  2850.    LOCATOR_ADDRESS_LENGTH_MAX  : constant Unsigned_Long := 16; 
  2851.    --  <dref>Locator_t_ADDRESS_LENGTH_MAX</dref> 
  2852.  
  2853.    type Locator_Address_Array_T is array (0 .. LOCATOR_ADDRESS_LENGTH_MAX - 1) of Octet; 
  2854.  
  2855.    type Locator_T is record 
  2856.       Kind           : aliased Long := 0; 
  2857.       Port           : aliased Unsigned_Long := 0; 
  2858.       Address        : aliased Locator_Address_Array_T := (others => 0); 
  2859.       Encapsulations : aliased EncapsulationId_Seq.Sequence; 
  2860.    end record with 
  2861.      Convention => C; 
  2862.    --  <dref>Locator_t</dref> 
  2863.    --  <dref name="Kind">Locator_t_kind</dref> 
  2864.    --  <dref name="Port">Locator_t_port</dref> 
  2865.    --  <dref name="Address">Locator_t_address</dref> 
  2866.    --  <dref internal="true" name="Encapsulations">Locator_t_encapsulations</dref> 
  2867.  
  2868.    type Locator_T_Access is access all Locator_T; 
  2869.    type Locator_T_Array is array (Natural range <>) of aliased Locator_T; 
  2870.    procedure Initialize (Self  : in out Locator_T); 
  2871.    procedure Finalize (Self  : in out Locator_T); 
  2872.    procedure Copy (Dst : in out Locator_T; Src : in Locator_T); 
  2873.  
  2874.    package Locator_Seq is new DDS_Support.Sequences_Generic 
  2875.      (Locator_T, 
  2876.       Locator_T_Access, 
  2877.       DDS.Natural, 
  2878.       1, 
  2879.       Locator_T_Array); 
  2880.    --  <dref>LocatorSeq</dref> 
  2881.  
  2882.    LOCATOR_INVALID : constant Locator_T := 
  2883.                        (Kind           => -1, 
  2884.                         Port           => 0, 
  2885.                         Address        => (others => 00), 
  2886.                         Encapsulations => EncapsulationId_Seq.DEFAULT_SEQUENCE); 
  2887.    --  <dref>Locator_t_INVALID</dref> 
  2888.  
  2889.    LOCATOR_KIND_INVALID : constant Long := -1; 
  2890.    --  <dref>Locator_t_KIND_INVALID</dref> 
  2891.  
  2892.    LOCATOR_PORT_INVALID : constant Unsigned_Long := 0; 
  2893.    --  <dref>Locator_t_PORT_INVALID</dref> 
  2894.  
  2895.    LOCATOR_ADDRESS_INVALID : constant Locator_Address_Array_T := (others => 0); 
  2896.    --  <dref>Locator_t_ADDRESS_INVALID</dref> 
  2897.  
  2898.    LOCATOR_KIND_ANY : constant Long := 0; 
  2899.    --  <dref internal="true">Locator_t_KIND_ANY</dref> 
  2900.  
  2901.    LOCATOR_KIND_UDPv4 : constant Long := 1; 
  2902.    --  <dref>Locator_t_KIND_UDPv4</dref> 
  2903.  
  2904.  
  2905.    LOCATOR_KIND_SHMEM  : constant Long := 2; 
  2906.    --  <dref>Locator_t_KIND_SHMEM</dref> 
  2907.  
  2908.    LOCATOR_KIND_INTRA  : constant Long := 3; 
  2909.    --  <dref internal="true">Locator_t_KIND_INTRA</dref> 
  2910.  
  2911.    LOCATOR_KIND_UDPv6 : constant Long := 5; 
  2912.    --  <dref>Locator_t_KIND_UDPv6</dref> 
  2913.  
  2914.    LOCATOR_KIND_DTLS : constant Long := 6; 
  2915.    --  <dref internal="true">Locator_t_KIND_DTLS</dref> 
  2916.  
  2917.    LOCATOR_KIND_WAN : constant Long := 7; 
  2918.    --  <dref internal="true">Locator_t_KIND_WAN</dref> 
  2919.  
  2920.    LOCATOR_KIND_TCPV4_LAN : constant Long := 8; 
  2921.    --  <dref internal="true">Locator_t_KIND_TCPV4_LAN</dref> 
  2922.  
  2923.    LOCATOR_KIND_TCPV4_WAN : constant Long := 9; 
  2924.    --  <dref internal="true">Locator_t_KIND_TCPV4_WAN</dref> 
  2925.  
  2926.    LOCATOR_KIND_TLSV4_LAN : constant Long := 10; 
  2927.    --  <dref internal="true">Locator_t_KIND_TLSV4_LAN</dref> 
  2928.  
  2929.    LOCATOR_KIND_TLSV4_WAN : constant Long := 11; 
  2930.    --  <dref internal="true">Locator_t_KIND_TLSV4_WAN</dref> 
  2931.  
  2932.    LOCATOR_KIND_RESERVED : constant Long := 1000; 
  2933.    --  <dref internal>Locator_t_KIND_RESERVED</dref> 
  2934.  
  2935.    --  ------------------------------------------------------ 
  2936.    --                 ProtocolVersion_t 
  2937.    --  ------------------------------------------------------ 
  2938.  
  2939.    type ProtocolVersion_T  is record 
  2940.       Major : aliased Octet := 0; 
  2941.       Minor : aliased Octet := 0; 
  2942.    end record with 
  2943.      Convention => C; 
  2944.    --  <dref>ProtocolVersion_t</dref> 
  2945.    --  <dref name="Major">ProtocolVersion_t_major</dref> 
  2946.    --  <dref name="Minor">ProtocolVersion_t_minor</dref> 
  2947.  
  2948.    PROTOCOL_VERSION_DEFAULT : constant ProtocolVersion_T :=  (others => <>); 
  2949.    --  <dref internal="true"></dref> 
  2950.  
  2951.    PROTOCOLVERSION_1_0      : constant ProtocolVersion_T := 
  2952.                                 (Major => 1, 
  2953.                                  Minor => 0); 
  2954.    --  <dref>ProtocolVersion_t_PROTOCOLVERSION_1_0</dref> 
  2955.  
  2956.    PROTOCOLVERSION_1_1      : constant ProtocolVersion_T := 
  2957.                                 (Major => 1, 
  2958.                                  Minor => 1); 
  2959.    --  <dref>ProtocolVersion_t_PROTOCOLVERSION_1_1</dref> 
  2960.  
  2961.    PROTOCOLVERSION_1_2      : constant ProtocolVersion_T := 
  2962.                                 (Major => 1, 
  2963.                                  Minor => 2); 
  2964.    --  <dref>ProtocolVersion_t_PROTOCOLVERSION_1_2</dref> 
  2965.  
  2966.    PROTOCOLVERSION_2_0      : constant ProtocolVersion_T := 
  2967.                                 (Major => 2, 
  2968.                                  Minor => 0); 
  2969.    --  <dref>ProtocolVersion_t_PROTOCOLVERSION_2_0</dref> 
  2970.  
  2971.    PROTOCOLVERSION_2_1      : constant ProtocolVersion_T := 
  2972.                                 (Major => 2, 
  2973.                                  Minor => 1); 
  2974.    --  <dref>ProtocolVersion_t_PROTOCOLVERSION_2_1</dref> 
  2975.  
  2976.    PROTOCOLVERSION          : constant ProtocolVersion_T := 
  2977.                                 (Major => 2, 
  2978.                                  Minor => 1); 
  2979.    --  <dref>ProtocolVersion_t_PROTOCOLVERSION</dref> 
  2980.  
  2981.    --  ------------------------------------------------------ 
  2982.    --                 Version_t 
  2983.    --  ------------------------------------------------------ 
  2984.  
  2985.    VENDOR_ID_LENGTH_MAX     : constant := 2; 
  2986.    --  <dref>VendorId_t_LENGTH_MAX</dref> 
  2987.  
  2988.    type VendorId_Array_T is array (0 .. VENDOR_ID_LENGTH_MAX - 1) of Octet; 
  2989.  
  2990.    type VendorId_T is record 
  2991.       VendorId : aliased VendorId_Array_T := (others => 0); 
  2992.    end record with 
  2993.      Convention => C; 
  2994.    --  <dref>VendorId_t</dref> 
  2995.    --  <dref name="VendorId">VendorId_t_vendorId</dref> 
  2996.  
  2997.    VENDOR_ID_DEFAULT : constant  VendorId_T := (VendorId => (0, 0)); 
  2998.    --  <dref internal="true"></dref> 
  2999.  
  3000.    VENDORID_UNKNOWN  : constant  VendorId_T := (VendorId => (0, 0)); 
  3001.    --  <dref internal="true"></dref> 
  3002.  
  3003.    --  ------------------------------------------------------ 
  3004.    --                 ProductVersion_t 
  3005.    --  ------------------------------------------------------ 
  3006.  
  3007.    type ProductVersion_T is record 
  3008.       Major    : aliased Char := Char (ASCII.NUL); 
  3009.       Minor    : aliased Char := Char (ASCII.NUL); 
  3010.       Release  : aliased Char := '0'; 
  3011.       Revision : aliased Char := Char (ASCII.NUL); 
  3012.    end record with 
  3013.      Convention => C; 
  3014.    --  <dref>ProductVersion_t</dref> 
  3015.    --  <dref name="Major">ProductVersion_t_major</dref> 
  3016.    --  <dref name="Minor">ProductVersion_t_minor</dref> 
  3017.    --  <dref name="Release">ProductVersion_t_release</dref> 
  3018.    --  <dref name="Revision">ProductVersion_t_revision</dref> 
  3019.  
  3020.    PRODUCTVERSION_UNKNOWN : constant ProductVersion_T := (others => <>); 
  3021.    --  <dref>ProductVersion_t_UNKNOWN</dref> 
  3022.  
  3023.    --  ---------------------------------------------------------- 
  3024.    --                 DataReaderResourceLimitsInstanceReplacementSettings 
  3025.    --  ---------------------------------------------------------- 
  3026.  
  3027.    type DataReaderResourceLimitsInstanceReplacementSettings is record 
  3028.       Alive_Instance_Removal      : aliased Unsigned_Long := 0; 
  3029.       Disposed_Instance_Removal   : aliased Unsigned_Long := 0; 
  3030.       No_Writers_Instance_Removal : aliased Unsigned_Long := 1; 
  3031.    end record with 
  3032.      Convention => C; 
  3033.    --  <dref>DataReaderResourceLimitsInstanceReplacementSettings</dref> 
  3034.    --  <dref name="Alive_Instance_Removal">DataReaderResourceLimitsInstanceReplacementSettings_alive_instance_removal</dref> 
  3035.    --  <dref name="Disposed_Instance_Removal">DataReaderResourceLimitsInstanceReplacementSettings_disposed_instance_removal</dref> 
  3036.    --  <dref name="No_Writers_Instance_Removal">DataReaderResourceLimitsInstanceReplacementSettings_no_writers_instance_removal</dref> 
  3037.  
  3038.    DATAREADER_RESOURCELIMITS_INSTANCEREPLACEMENT_SETTINGS_DEFAULT : constant 
  3039.      DataReaderResourceLimitsInstanceReplacementSettings :=  (others => <>); 
  3040.  
  3041.    --  ---------------------------------------------------------- 
  3042.    --                 DATA_READER_RESOURCE_LIMITS (eXtension QoS) 
  3043.    --  ---------------------------------------------------------- 
  3044.  
  3045.    DATAREADERRESOURCELIMITS_QOS_POLICY_NAME : constant DDS.String := To_DDS_String ("DataReaderResourceLimits"); 
  3046.    --  <defgroup>DataReaderResourceLimitsQosGroupDocs</defgroup> 
  3047.    --  <dref>DATAREADERRESOURCELIMITS_QOS_POLICY_NAME</dref> 
  3048.  
  3049.    type DataReaderResourceLimitsQosPolicy is record 
  3050.       Max_Remote_Writers                                  : aliased Long := -1; 
  3051.       Max_Remote_Writers_Per_Instance                     : aliased Long := -1; 
  3052.       Max_Samples_Per_Remote_Writer                       : aliased Long := -1; 
  3053.       Max_Infos                                           : aliased Long := -1; 
  3054.       Initial_Remote_Writers                              : aliased Long := 2; 
  3055.       Initial_Remote_Writers_Per_Instance                 : aliased Long := 2; 
  3056.       Initial_Infos                                       : aliased Long := 32; 
  3057.       Initial_Outstanding_Reads                           : aliased Long := 2; 
  3058.       Max_Outstanding_Reads                               : aliased Long := -1; 
  3059.       Max_Samples_Per_Read                                : aliased Long := 1024; 
  3060.       Disable_Fragmentation_Support                       : aliased DDS.Boolean := False; 
  3061.       Max_Fragmented_Samples                              : aliased Long := 1024; 
  3062.       Initial_Fragmented_Samples                          : aliased Long := 4; 
  3063.       Max_Fragmented_Samples_Per_Remote_Writer            : aliased Long := 256; 
  3064.       Max_Fragments_Per_Sample                            : aliased Long := 512; 
  3065.       Dynamically_Allocate_Fragmented_Samples             : aliased DDS.Boolean := False; 
  3066.       Max_Total_Instances                                 : aliased Long := 32; 
  3067.       Max_Remote_Virtual_Writers                          : aliased Long := -1; 
  3068.       Initial_Remote_Virtual_Writers                      : aliased Long := 2; 
  3069.       Max_Remote_Virtual_Writers_Per_Instance             : aliased Long := -1; 
  3070.       Initial_Remote_Virtual_Writers_Per_Instance         : aliased Long := 2; 
  3071.       Max_Remote_Writers_Per_Sample                       : aliased Long := 3; 
  3072.       Max_Query_Condition_Filters                         : aliased Long := 4; 
  3073.       Max_App_Ack_Response_Length                         : aliased Long := 1; 
  3074.       Keep_Minimum_State_For_Instances                    : aliased DDS.Boolean := True; 
  3075.       Initial_Topic_Queries                               : aliased Long := 1; 
  3076.       Max_Topic_Queries                                   : aliased Long := -1; 
  3077.       Shmem_Ref_Transfer_Mode_Attached_Segment_Allocation : aliased AllocationSettings_T := AllocationSettings_T_AUTO; 
  3078.       Instance_Replacement                                : aliased DataReaderResourceLimitsInstanceReplacementSettings := DATAREADER_RESOURCELIMITS_INSTANCEREPLACEMENT_SETTINGS_DEFAULT; 
  3079.       Autopurge_Remote_Not_Alive_Writer_Delay             : aliased Duration_T := DURATION_AUTO; 
  3080.       Autopurge_Remote_Virtual_Writer_Delay               : aliased Duration_T := DURATION_INFINITE; 
  3081.    end record with Convention => C; 
  3082.    pragma Compile_Time_Error (DataReaderResourceLimitsQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_DataReaderResourceLimitsQosPolicy'Size, "DataReaderResourceLimitsQosPolicy size missmatch."); 
  3083.  
  3084.    --  <dref>DataReaderResourceLimitsQosPolicy</dref> 
  3085.    --  <dref name="Max_Remote_Writers">DataReaderResourceLimitsQosPolicy_max_remote_writers</dref> 
  3086.    --  <dref name="Max_Remote_Writers_Per_Instance">DataReaderResourceLimitsQosPolicy_max_remote_writers_per_instance</dref> 
  3087.    --  <dref name="Max_Samples_Per_Remote_Writer">DataReaderResourceLimitsQosPolicy_max_samples_per_remote_writer</dref> 
  3088.    --  <dref name="Max_Infos">DataReaderResourceLimitsQosPolicy_max_infos</dref> 
  3089.    --  <dref name="Initial_Remote_Writers">DataReaderResourceLimitsQosPolicy_initial_remote_writers</dref> 
  3090.    --  <dref name="Initial_Remote_Writers_Per_Instance">DataReaderResourceLimitsQosPolicy_initial_remote_writers_per_instance</dref> 
  3091.    --  <dref name="Initial_Infos">DataReaderResourceLimitsQosPolicy_initial_infos</dref> 
  3092.    --  <dref name="Initial_Outstanding_Reads">DataReaderResourceLimitsQosPolicy_initial_outstanding_reads</dref> 
  3093.    --  <dref name="Max_Outstanding_Reads">DataReaderResourceLimitsQosPolicy_max_outstanding_reads</dref> 
  3094.    --  <dref name="Max_Samples_Per_Read">DataReaderResourceLimitsQosPolicy_max_samples_per_read</dref> 
  3095.    --  <dref name="Disable_Fragmentation_Support">DataReaderResourceLimitsQosPolicy_disable_fragmentation_support</dref> 
  3096.    --  <dref name="Max_Fragmented_Samples">DataReaderResourceLimitsQosPolicy_max_fragmented_samples</dref> 
  3097.    --  <dref name="Initial_Fragmented_Samples">DataReaderResourceLimitsQosPolicy_initial_fragmented_samples</dref> 
  3098.    --  <dref name="Max_Fragmented_Samples_Per_Remote_Writer">DataReaderResourceLimitsQosPolicy_max_fragmented_samples_per_remote_writer</dref> 
  3099.    --  <dref name="Max_Fragments_Per_Samples">DataReaderResourceLimitsQosPolicy_max_fragments_per_sample</dref> 
  3100.    --  <dref name="Dynamically_Allocate_Fragmented_Samples">DataReaderResourceLimitsQosPolicy_dynamically_allocate_fragmented_samples</dref> 
  3101.    --  <dref name="Max_Total_Instances">DataReaderResourceLimitsQosPolicy_max_total_instances</dref> 
  3102.    --  <dref name="Max_Remote_Virtual_Writers">DataReaderResourceLimitsQosPolicy_max_remote_virtual_writers</dref> 
  3103.    --  <dref name="Initial_Remote_Virtual_Writers">DataReaderResourceLimitsQosPolicy_initial_remote_virtual_writers</dref> 
  3104.    --  <dref name="Max_Remote_Virtual_Writers_Per_Instance">DataReaderResourceLimitsQosPolicy_max_remote_virtual_writers_per_instance</dref> 
  3105.    --  <dref name="Initial_Remote_Virtual_Writers_Per_Instance">DataReaderResourceLimitsQosPolicy_initial_remote_virtual_writers_per_instance</dref> 
  3106.    --  <dref name="Max_Remote_Writers_Per_Sample">DataReaderResourceLimitsQosPolicy_max_remote_writers_per_sample</dref> 
  3107.    --  <dref name="Max_Query_Condition_Filters">DataReaderResourceLimitsQosPolicy_max_query_condition_filters</dref> 
  3108.    --  <dref name="Max_App_Ack_Response_Length">DataReaderResourceLimitsQosPolicy_max_app_ack_response_length</dref> 
  3109.    --  <dref name="Keep_Minimum_State_For_Instances">DataReaderResourceLimitsQosPolicy_keep_minimum_state_for_instances</dref> 
  3110.    --  <dref internal="true" name="Initial_Topic_Queries">DataReaderResourceLimitsQosPolicy_initial_topic_queries</dref> 
  3111.    --  <dref internal="true" name="Max_Topic_Queries">DataReaderResourceLimitsQosPolicy_max_topic_queries</dref> 
  3112.    --  <dref internal="true" name="Shmem_Ref_Transfer_Mode_Attached_Segment_Allocation">DataReaderResourceLimitsQosPolicy_shmem_ref_transfer_mode_attached_segment_allocation</dref> 
  3113.    --  <dref internal="true" name="Instance_Replacement">DataReaderResourceLimitsQosPolicy_instance_replacement</dref> 
  3114.    --  <dref internal="true" name="Autopurge_Remote_Not_Alive_Writer_Delay">DataReaderResourceLimitsQosPolicy_autopurge_remote_not_alive_writer_delay</dref> 
  3115.    --  <dref internal="true" name="Autopurge_Remote_Virtual_Writer_Delay">DataReaderResourceLimitsQosPolicy_autopurge_remote_virtual_writer_delay</dref> 
  3116.  
  3117.    AUTO_MAX_TOTAL_INSTANCES : constant Long := 0; 
  3118.    --  <dref>AUTO_MAX_TOTAL_INSTANCES</dref> 
  3119.  
  3120.    DATA_READER_RESOURCE_LIMITS_QOS_POLICY_DEFAULT :   constant DataReaderResourceLimitsQosPolicy := (others => <>); 
  3121.  
  3122.    --  ---------------------------------------------------------- 
  3123.    --                 DATA_WRITER_RESOURCE_LIMITS (eXtension QoS) 
  3124.    --  ---------------------------------------------------------- 
  3125.  
  3126.    DATAWRITERRESOURCELIMITS_QOS_POLICY_NAME       : constant DDS.String := To_DDS_String ("DataWriterResourceLimits"); 
  3127.    --  <defgroup>DataWriterResourceLimitsQosGroupDocs</defgroup> 
  3128.    --  <dref>DATAWRITERRESOURCELIMITS_QOS_POLICY_NAME</dref> 
  3129.  
  3130.    type DataWriterResourceLimitsInstanceReplacementKind is new Unsigned_Long; 
  3131.    --  <dref>DataWriterResourceLimitsInstanceReplacementKind</dref> 
  3132.  
  3133.    UNREGISTERED_INSTANCE_REPLACEMENT : constant DataWriterResourceLimitsInstanceReplacementKind := 0; 
  3134.    --  <dref>DataWriterResourceLimitsInstanceReplacementKind_UNREGISTERED_INSTANCE_REPLACEMENT</dref> 
  3135.  
  3136.    ALIVE_INSTANCE_REPLACEMENT : constant DataWriterResourceLimitsInstanceReplacementKind := 1; 
  3137.    --  <dref>DataWriterResourceLimitsInstanceReplacementKind_ALIVE_INSTANCE_REPLACEMENT</dref> 
  3138.  
  3139.    DISPOSED_INSTANCE_REPLACEMENT : constant DataWriterResourceLimitsInstanceReplacementKind := 2; 
  3140.    --  <dref>DataWriterResourceLimitsInstanceReplacementKind_DISPOSED_INSTANCE_REPLACEMENT</dref> 
  3141.  
  3142.    ALIVE_THEN_DISPOSED_INSTANCE_REPLACEMENT : constant DataWriterResourceLimitsInstanceReplacementKind := 3; 
  3143.    --  <dref>DataWriterResourceLimitsInstanceReplacementKind_ALIVE_THEN_DISPOSED_INSTANCE_REPLACEMENT</dref> 
  3144.  
  3145.    DISPOSED_THEN_ALIVE_INSTANCE_REPLACEMENT : constant DataWriterResourceLimitsInstanceReplacementKind := 4; 
  3146.    --  <dref>DataWriterResourceLimitsInstanceReplacementKind_DISPOSED_THEN_ALIVE_INSTANCE_REPLACEMENT</dref> 
  3147.  
  3148.    ALIVE_OR_DISPOSED_INSTANCE_REPLACEMENT : constant DataWriterResourceLimitsInstanceReplacementKind := 5; 
  3149.    --  <dref>DataWriterResourceLimitsInstanceReplacementKind_ALIVE_OR_DISPOSED_INSTANCE_REPLACEMENT</dref> 
  3150.  
  3151.    type DataWriterResourceLimitsQosPolicy is record 
  3152.       Initial_Concurrent_Blocking_Threads : aliased DDS.Long := 1; 
  3153.       Max_Concurrent_Blocking_Threads     : aliased DDS.Long := -1; 
  3154.       Max_Remote_Reader_Filters           : aliased DDS.Long := 32; 
  3155.       Initial_Batches                     : aliased DDS.Long := 8; 
  3156.       Max_Batches                         : aliased DDS.Long := -1; 
  3157.       Cookie_Max_Length                   : aliased DDS.Long := -1; 
  3158.       Instance_Replacement                : aliased DataWriterResourceLimitsInstanceReplacementKind := UNREGISTERED_INSTANCE_REPLACEMENT; 
  3159.       Replace_Empty_Instances             : aliased DDS.Boolean := False; 
  3160.       Autoregister_Instances              : aliased DDS.Boolean := False; 
  3161.       Initial_Virtual_Writers             : aliased DDS.Long :=  1; 
  3162.       Max_Virtual_Writers                 : aliased DDS.Long := -1; 
  3163.       Max_Remote_Readers                  : aliased DDS.Long := -1; 
  3164.       Max_App_Ack_Remote_Readers          : aliased DDS.Long := -1; 
  3165.       Initial_Active_Topic_Queries        : aliased DDS.Long :=  1; 
  3166.       Max_Topic_Queries                   : aliased DDS.Long := -1; 
  3167.       Writer_Loaned_Sample_Allocation     : aliased AllocationSettings_T := AllocationSettings_T_AUTO; 
  3168.       Initialize_Writer_Loaned_Sample     : aliased DDS.Boolean := False; 
  3169.    end record with Convention => C; 
  3170.    pragma Compile_Time_Error (DataWriterResourceLimitsQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_DataWriterResourceLimitsQosPolicy'Size, "DataWriterResourceLimitsQosPolicy size missmatch."); 
  3171.  
  3172.    --  <dref>DataWriterResourceLimitsQosPolicy</dref> 
  3173.    --  <dref name="Initial_Concurrent_Blocking_Threads">DataWriterResourceLimitsQosPolicy_initial_concurrent_blocking_threads</dref> 
  3174.    --  <dref name="Max_Concurrent_Blocking_Threads">DataWriterResourceLimitsQosPolicy_max_concurrent_blocking_threads</dref> 
  3175.    --  <dref name="Max_Remote_Reader_Filters">DataWriterResourceLimitsQosPolicy_max_remote_reader_filters</dref> 
  3176.    --  <dref name="Initial_Batches">DataWriterResourceLimitsQosPolicy_initial_batches</dref> 
  3177.    --  <dref name="Max_Batches">DataWriterResourceLimitsQosPolicy_max_batches</dref> 
  3178.    --  <dref name="Cookie_Max_Length">DataWriterResourceLimitsQosPolicy_cookie_max_length</dref> 
  3179.    --  <dref name="Instance_Replacement">DataWriterResourceLimitsQosPolicy_instance_replacement</dref> 
  3180.    --  <dref name="Replace_Empty_Instances">DataWriterResourceLimitsQosPolicy_replace_empty_instances</dref> 
  3181.    --  <dref name="Autoregister_Instances">DataWriterResourceLimitsQosPolicy_autoregister_instances</dref> 
  3182.    --  <dref name="Initial_Virtual_Writers">DataWriterResourceLimitsQosPolicy_initial_virtual_writers</dref> 
  3183.    --  <dref name="Max_Virtual_Writers">DataWriterResourceLimitsQosPolicy_max_virtual_writers</dref> 
  3184.    --  <dref name="Max_Remote_Readers">DataWriterResourceLimitsQosPolicy_max_remote_readers</dref> 
  3185.    --  <dref name="Max_App_Ack_Remote_Readers">DataWriterResourceLimitsQosPolicy_max_app_ack_remote_readers</dref> 
  3186.    --  <dref internal="true" name="Initial_Active_Topic_Queries">DataWriterResourceLimitsQosPolicy_initial_active_topic_queries</dref> 
  3187.    --  <dref internal="true" name="Max_Topic_Queries">DataWriterResourceLimitsQosPolicy_max_topic_queries</dref> 
  3188.    --  <dref internal="true" name="Writer_Loaned_Sample_Allocation">DataWriterResourceLimitsQosPolicy_writer_loaned_sample_allocation</dref> 
  3189.    --  <dref internal="true" name="Initialize_Writer_Loaned_Sample">DataWriterResourceLimitsQosPolicy_initialize_writer_loaned_sample</dref> 
  3190.  
  3191.    DATA_WRITER_RESOURCE_LIMITS_QOS_POLICY_DEFAULT : constant DataWriterResourceLimitsQosPolicy := (others => <>); 
  3192.    --  <dref internal="true"></dref> 
  3193.  
  3194.    --  ---------------------------------------------------------- 
  3195.    --                 SERVICE (eXtension QoS) 
  3196.    --  ---------------------------------------------------------- 
  3197.  
  3198.    SERVICE_QOS_POLICY_NAME                        : constant DDS.String := To_DDS_String ("Service"); 
  3199.    --  <defgroup>ServiceQosGroupDocs</defgroup> 
  3200.    --  <dref internal="true">SERVICE_QOS_POLICY_NAME</dref> 
  3201.  
  3202.    type ServiceQosPolicyKind is new Unsigned_Long; 
  3203.    --  <dref>ServiceQosPolicyKind</dref> 
  3204.  
  3205.    NO_SERVICE_QOS : constant ServiceQosPolicyKind := 0; 
  3206.    --  <dref>ServiceQosPolicyKind_NO_SERVICE_QOS</dref> 
  3207.  
  3208.    PERSISTENCE_SERVICE_QOS : constant ServiceQosPolicyKind := 1; 
  3209.    --  <dref>ServiceQosPolicyKind_PERSISTENCE_SERVICE_QOS</dref> 
  3210.  
  3211.    QUEUING_SERVICE_QOS : constant ServiceQosPolicyKind := 2; 
  3212.    --  <dref>ServiceQosPolicyKind_QUEUING_SERVICE_QOS</dref> 
  3213.  
  3214.    ROUTING_SERVICE_QOS : constant ServiceQosPolicyKind := 3; 
  3215.    --  <dref>ServiceQosPolicyKind_ROUTING_SERVICE_QOS</dref> 
  3216.  
  3217.    RECORDING_SERVICE_QOS : constant ServiceQosPolicyKind := 4; 
  3218.    --  <dref>ServiceQosPolicyKind_RECORDING_SERVICE_QOS</dref> 
  3219.  
  3220.    REPLAY_SERVICE_QOS : constant ServiceQosPolicyKind := 5; 
  3221.    --  <dref>ServiceQosPolicyKind_REPLAY_SERVICE_QOS</dref> 
  3222.  
  3223.    DATABASE_INTEGRATION_SERVICE_QOS : constant ServiceQosPolicyKind := 6; 
  3224.    --  <dref>ServiceQosPolicyKind_DATABASE_INTEGRATION_SERVICE_QOS</dref> 
  3225.  
  3226.    DDS_WEB_INTEGRATION_SERVICE_QOS : constant ServiceQosPolicyKind := 7; 
  3227.    --  <dref>ServiceQosPolicyKind_WEB_INTEGRATION_SERVICE_QOS</dref> 
  3228.  
  3229.    type ServiceQosPolicy is record 
  3230.       Kind : ServiceQosPolicyKind := NO_SERVICE_QOS; 
  3231.    end record  with Convention => C; 
  3232.    pragma Compile_Time_Error (ServiceQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_ServiceQosPolicy'Size, "ServiceQosPolicy size missmatch."); 
  3233.  
  3234.    --  <dref>ServiceQosPolicy</dref> 
  3235.    --  <dref name="Kind">ServiceQosPolicy_kind</dref> 
  3236.  
  3237.    SERVICE_QOS_POLICY_DEFAULT : constant ServiceQosPolicy := (others => <>); 
  3238.    --  <dref internal="true"></dref> 
  3239.  
  3240.    --  ---------------------------------------------------------- 
  3241.    --                 PUBLISHER_PROTOCOL (eXtension QoS) 
  3242.    --  ---------------------------------------------------------- 
  3243.  
  3244.    PUBLISHERPROTOCOL_QOS_POLICY_NAME : constant DDS.String := To_DDS_String ("PublisherProtocol"); 
  3245.    --  <defgroup internal="true">PublisherProtocolQosGroupDocs</defgroup> 
  3246.    --  <dref internal="true">PUBLISHERPROTOCOL_QOS_POLICY_NAME</dref> 
  3247.  
  3248.    type PublisherProtocolQosPolicy is record 
  3249.       Vendor_Specific_Entity : DDS.Boolean := False; 
  3250.    end record with Convention => C; 
  3251.    pragma Compile_Time_Error (PublisherProtocolQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_PublisherProtocolQosPolicy'Size, "PublisherProtocolQosPolicy size missmatch."); 
  3252.  
  3253.    --  <dref internal="true">PublisherProtocolQosPolicy</dref> 
  3254.    --  <dref internal="true" name="vendor_specific_entity"a>PublisherProtocolQosPolicy_vendor_specific_entity</dref> 
  3255.  
  3256.    PUBLISHER_PROTOCOL_QOS_POLICY_DEFAULT : constant PublisherProtocolQosPolicy := 
  3257.                                              (Vendor_Specific_Entity => False); 
  3258.    --  <dref internal="true"></dref> 
  3259.  
  3260.    --  ---------------------------------------------------------- 
  3261.    --                 SUBSCRIBER_PROTOCOL (eXtension QoS) 
  3262.    --  ---------------------------------------------------------- 
  3263.  
  3264.    SUBSCRIBERPROTOCOL_QOS_POLICY_NAME : constant DDS.String := To_DDS_String ("SubscriberProtocol"); 
  3265.    --  <defgroup internal="true">SubscriberProtocolQosGroupDocs</defgroup> 
  3266.    --  <dref internal="true">SUBSCRIBERPROTOCOL_QOS_POLICY_NAME</dref> 
  3267.  
  3268.    type SubscriberProtocolQosPolicy is record 
  3269.       Vendor_Specific_Entity : DDS.Boolean := False; 
  3270.    end record with Convention => C; 
  3271.    pragma Compile_Time_Error (SubscriberProtocolQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_SubscriberProtocolQosPolicy'Size, "SubscriberProtocolQosPolicy size missmatch."); 
  3272.  
  3273.    --  <dref internal="true">SubscriberProtocolQosPolicy</dref> 
  3274.    --  <dref internal="true" name="vendor_specific_entity"a>SubscriberProtocolQosPolicy_vendor_specific_entity</dref> 
  3275.  
  3276.  
  3277.    SUBSCRIBER_PROTOCOL_QOS_POLICY_DEFAULT : constant SubscriberProtocolQosPolicy := 
  3278.                                               (Vendor_Specific_Entity => False); 
  3279.    --  <dref internal="true"></dref> 
  3280.  
  3281.    --  ---------------------------------------------------------- 
  3282.    --                 DATAREADER_PROTOCOL (eXtension QoS) 
  3283.    --  ---------------------------------------------------------- 
  3284.  
  3285.    DATAREADERPROTOCOL_QOS_POLICY_NAME : constant DDS.String := To_DDS_String ("DataReaderProtocol"); 
  3286.    --  <defgroup>DataReaderProtocolQosGroupDocs</defgroup> 
  3287.    --  <dref>DATAREADERPROTOCOL_QOS_POLICY_NAME</dref> 
  3288.  
  3289.    type DataReaderProtocolQosPolicy is record 
  3290.       Virtual_Guid                                : aliased Guid_T; 
  3291.       Rtps_Object_Id                              : aliased Unsigned_Long := RTPS_AUTO_ID; 
  3292.       Expects_Inline_Qos                          : aliased DDS.Boolean := False; 
  3293.       Disable_Positive_Acks                       : aliased DDS.Boolean := False; 
  3294.       Propagate_Dispose_Of_Unregistered_Instances : aliased DDS.Boolean := False; 
  3295.       Propagate_Unregister_Of_Disposed_Instances  : aliased DDS.Boolean := False; 
  3296.       Rtps_Reliable_Reader                        : aliased RtpsReliableReaderProtocol_T := RTPS_RELIABLE_READER_PROTOCOL_DEFAULT; 
  3297.       Vendor_Specific_Entity                      : aliased DDS.Boolean := False; 
  3298.       Meta_Entity                                 : aliased DDS.Boolean := False; 
  3299.    end record with Convention => C; 
  3300.    pragma Compile_Time_Error (DataReaderProtocolQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_DataReaderProtocolQosPolicy'Size, "DataReaderProtocolQosPolicy size missmatch."); 
  3301.  
  3302.    --  <dref>DataReaderProtocolQosPolicy</dref> 
  3303.    --  <dref name="Virtual_Guid">DataReaderProtocolQosPolicy_virtual_guid</dref> 
  3304.    --  <dref name="Rtps_Object_Id">DataReaderProtocolQosPolicy_rtps_object_id</dref> 
  3305.    --  <dref name="Expects_Inline_Qos">DataReaderProtocolQosPolicy_expects_inline_qos</dref> 
  3306.    --  <dref name="disable_positive_acks">DataReaderProtocolQosPolicy_disable_positive_acks</dref> 
  3307.    --  <dref name="propagate_dispose_of_unregistered_instances">DataReaderProtocolQosPolicy_propagate_dispose_of_unregistered_instances</dref> 
  3308.    --  <dref name="propagate_unregister_of_disposed_instances">DataReaderProtocolQosPolicy_propagate_unregister_of_disposed_instances</dref> 
  3309.    --  <dref name="Rtps_Reliable_Reader">DataReaderProtocolQosPolicy_rtps_reliable_reader</dref> 
  3310.    --  <dref internal="true" name="vendor_specific_entity">DataReaderProtocolQosPolicy_vendor_specific_entity</dref> 
  3311.    --  <dref internal="true" name="Meta_Entity">DataReaderProtocolQosPolicy_Meta_Entity</dref> 
  3312.  
  3313.    DATA_READER_PROTOCOL_QOS_POLICY_DEFAULT : constant DataReaderProtocolQosPolicy := (others => <>); 
  3314.    --  <dref internal="true"></dref> 
  3315.  
  3316.    --  ---------------------------------------------------------- 
  3317.    --                 DATAWRITER_PROTOCOL (eXtension QoS) 
  3318.    --  ---------------------------------------------------------- 
  3319.  
  3320.    DATAWRITERPROTOCOL_QOS_POLICY_NAME      : constant DDS.String := To_DDS_String ("DataWriterProtocol"); 
  3321.    --  <defgroup>DataWriterProtocolQosGroupDocs</defgroup> 
  3322.    --  <dref>DATAWRITERPROTOCOL_QOS_POLICY_NAME</dref> 
  3323.  
  3324.    type DataWriterProtocolQosPolicy is record 
  3325.       Virtual_Guid                       : aliased Guid_T; 
  3326.       Rtps_Object_Id                     : aliased Unsigned_Long := RTPS_AUTO_ID; 
  3327.       Push_On_Write                      : aliased DDS.Boolean := False; 
  3328.       Disable_Positive_Acks              : aliased DDS.Boolean := False; 
  3329.       Disable_Inline_Keyhash             : aliased DDS.Boolean := False; 
  3330.       Serialize_Key_With_Dispose         : aliased DDS.Boolean := False; 
  3331.       Propagate_App_Ack_With_No_Response : aliased DDS.Boolean := True; 
  3332.       Rtps_Reliable_Writer               : aliased RtpsReliableWriterProtocol_T := RTPS_RELIABLE_WRITER_PROTOCOL_DEFAULT; 
  3333.       Initial_Virtual_Sequence_Number    : aliased DDS.SequenceNumber_T := (-2147483648, 16#FFFF_FFFF#); 
  3334.       Vendor_Specific_Entity             : aliased DDS.Boolean := False; 
  3335.    end record with Convention => C; 
  3336.    pragma Compile_Time_Error (DataWriterProtocolQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_DataWriterProtocolQosPolicy'Size, "DataWriterProtocolQosPolicy size missmatch."); 
  3337.  
  3338.    --  <dref>DataWriterProtocolQosPolicy</dref> 
  3339.    --  <dref name="Virtual_Guid">DataWriterProtocolQosPolicy_virtual_guid</dref> 
  3340.    --  <dref name="Rtps_Object_Id">DataWriterProtocolQosPolicy_rtps_object_id</dref> 
  3341.    --  <dref name="Push_On_Write">DataWriterProtocolQosPolicy_push_on_write</dref> 
  3342.    --  <dref name="Disable_Positive_Acks">DataWriterProtocolQosPolicy_disable_positive_acks</dref> 
  3343.    --  <dref name="Disable_Inline_Keyhash">DataWriterProtocolQosPolicy_disable_inline_keyhash</dref> 
  3344.    --  <dref name="Serialize_Key_With_Dispose">DataWriterProtocolQosPolicy_serialize_key_with_dispose</dref> 
  3345.    --  <dref name="Propagate_App_Ack_With_No_Response">DataWriterProtocolQosPolicy_propagate_app_ack_with_no_response</dref> 
  3346.    --  <dref name="Rtps_Reliable_Writer">DataWriterProtocolQosPolicy_rtps_reliable_writer</dref> 
  3347.    --  <dref name="Initial_Virtual_Sequence_Number">DataWriterProtocolQosPolicy_initial_virtual_sequence_number</dref> 
  3348.    --  <dref internal="true" name="Vendor_Specific_Entity">DataWriterProtocolQosPolicy_vendor_specific_entity</dref> 
  3349.  
  3350.    DATA_WRITER_PROTOCOL_QOS_POLICY_DEFAULT : constant DataWriterProtocolQosPolicy := (others => <>); 
  3351.    --  <dref internal="true"></dref> 
  3352.  
  3353.    --  ---------------------------------------------------------- 
  3354.    --                 SYSTEM_RESOURCE_LIMITS (eXtension QoS) 
  3355.    --  ---------------------------------------------------------- 
  3356.  
  3357.    SYSTEMRESOURCELIMITS_QOS_POLICY_NAME    : constant DDS.String := To_DDS_String ("SystemResourceLimits"); 
  3358.    --  <defgroup>SystemResourceLimitsQosGroupDocs</defgroup> 
  3359.    --  <dref>SYSTEMRESOURCELIMITS_QOS_POLICY_NAME</dref> 
  3360.  
  3361.    type SystemResourceLimitsQosPolicy is record 
  3362.       Max_Objects_Per_Thread     : Long := 261120; -- note set by the environment variable  NDDS_MAX_OBJECTS_PER_THREAD 
  3363.       Initial_Objects_Per_Thread : Long := 1024; 
  3364.    end record with Convention => C; 
  3365.    pragma Compile_Time_Error (SystemResourceLimitsQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_SystemResourceLimitsQosPolicy'Size, "SystemResourceLimitsQosPolicy size missmatch."); 
  3366.  
  3367.    --  <dref>SystemResourceLimitsQosPolicy</dref> 
  3368.    --  <dref name="Max_Objects_Per_Thread">SystemResourceLimitsQosPolicy_max_objects_per_thread</dref> 
  3369.  
  3370.    SYSTEM_RESOURCE_LIMITS_QOS_POLICY_DEFAULT : constant SystemResourceLimitsQosPolicy := (others => <>); 
  3371.    --  <dref internal="true"></dref> 
  3372.  
  3373.    --  ---------------------------------------------------------- 
  3374.    --                 DOMAIN_PARTICIPANT_RESOURCE_LIMITS (eXtension QoS) 
  3375.    --  ---------------------------------------------------------- 
  3376.  
  3377.    DOMAINPARTICIPANTRESOURCELIMITS_QOS_POLICY_NAME :  constant DDS.String := To_DDS_String ("DomainParticipantResourceLimits"); 
  3378.    --  <defgroup>DomainParticipantResourceLimitsQosGroupDocs</defgroup> 
  3379.    --  <dref>DOMAINPARTICIPANTRESOURCELIMITS_QOS_POLICY_NAME</dref> 
  3380.  
  3381.    DomainParticipantResourceLimitsQosPolicy_MATCH_INIT : constant DDS.Long := 32; 
  3382.  
  3383.    type DomainParticipantResourceLimitsIgnoredEntityReplacementKind is new Unsigned_Long; 
  3384.    --  <dref>DomainParticipantResourceLimitsIgnoredEntityReplacementKind</dref> 
  3385.  
  3386.    NO_REPLACEMENT_IGNORED_ENTITY_REPLACEMENT : 
  3387.    constant DomainParticipantResourceLimitsIgnoredEntityReplacementKind := 0; 
  3388.    --  <dref>DomainParticipantResourceLimitsIgnoredEntityReplacementKind_NO_REPLACEMENT_IGNORED_ENTITY_REPLACEMENT</dref> 
  3389.  
  3390.    DDS_NOT_ALIVE_FIRST_IGNORED_ENTITY_REPLACEMENT : 
  3391.    constant DomainParticipantResourceLimitsIgnoredEntityReplacementKind := 1; 
  3392.    --  <dref>DomainParticipantResourceLimitsIgnoredEntityReplacementKind_NOT_ALIVE_FIRST_IGNORED_ENTITY_REPLACEMENT</dref> 
  3393.  
  3394.    type DomainParticipantResourceLimitsQosPolicy is record 
  3395.       Local_Writer_Allocation                               : aliased AllocationSettings_T := (16, -1, -1); 
  3396.       Local_Reader_Allocation                               : aliased AllocationSettings_T := (16, -1, -1); 
  3397.       Local_Publisher_Allocation                            : aliased AllocationSettings_T := (4, -1, -1); 
  3398.       Local_Subscriber_Allocation                           : aliased AllocationSettings_T := (4, -1, -1); 
  3399.       Local_Topic_Allocation                                : aliased AllocationSettings_T := (16, -1, -1); 
  3400.       Remote_Writer_Allocation                              : aliased AllocationSettings_T := (64, -1, -1); 
  3401.       Remote_Reader_Allocation                              : aliased AllocationSettings_T := (64, -1, -1); 
  3402.       Remote_Participant_Allocation                         : aliased AllocationSettings_T := (16, -1, -1); 
  3403.       Matching_Writer_Reader_Pair_Allocation                : aliased AllocationSettings_T := (DomainParticipantResourceLimitsQosPolicy_MATCH_INIT, -1, -1); 
  3404.       Matching_Reader_Writer_Pair_Allocation                : aliased AllocationSettings_T := (DomainParticipantResourceLimitsQosPolicy_MATCH_INIT, -1, -1); 
  3405.       Ignored_Entity_Allocation                             : aliased AllocationSettings_T := (8, -1, -1); 
  3406.       Content_Filtered_Topic_Allocation                     : aliased AllocationSettings_T := (4, -1, -1); 
  3407.       Content_Filter_Allocation                             : aliased AllocationSettings_T := (4, -1, -1); 
  3408.       Read_Condition_Allocation                             : aliased AllocationSettings_T := (4, -1, -1); 
  3409.       Query_Condition_Allocation                            : aliased AllocationSettings_T := (4, -1, -1); 
  3410.       Outstanding_Asynchronous_Sample_Allocation            : aliased AllocationSettings_T := (64, -1, -1); 
  3411.       Flow_Controller_Allocation                            : aliased AllocationSettings_T := (4, -1, -1); 
  3412.       Local_Writer_Hash_Buckets                             : aliased Long := 4; 
  3413.       Local_Reader_Hash_Buckets                             : aliased Long := 4; 
  3414.       Local_Publisher_Hash_Buckets                          : aliased Long := 1; 
  3415.       Local_Subscriber_Hash_Buckets                         : aliased Long := 1; 
  3416.       Local_Topic_Hash_Buckets                              : aliased Long := 4; 
  3417.       Remote_Writer_Hash_Buckets                            : aliased Long := 16; 
  3418.       Remote_Reader_Hash_Buckets                            : aliased Long := 16; 
  3419.       Remote_Participant_Hash_Buckets                       : aliased Long := 4; 
  3420.       Matching_Writer_Reader_Pair_Hash_Buckets              : aliased Long := 32; 
  3421.       Matching_Reader_Writer_Pair_Hash_Buckets              : aliased Long := 32; 
  3422.       Ignored_Entity_Hash_Buckets                           : aliased Long := 1; 
  3423.       Content_Filtered_Topic_Hash_Buckets                   : aliased Long := 1; 
  3424.       Content_Filter_Hash_Buckets                           : aliased Long := 1; 
  3425.       Flow_Controller_Hash_Buckets                          : aliased Long := 1; 
  3426.       Max_Gather_Destinations                               : aliased Long := 8; 
  3427.       Participant_User_Data_Max_Length                      : aliased Long := 256; 
  3428.       Inter_Participant_Data_Max_Length                     : aliased Long := 256; 
  3429.       Topic_Data_Max_Length                                 : aliased Long := 256; 
  3430.       Publisher_Group_Data_Max_Length                       : aliased Long := 256; 
  3431.       Subscriber_Group_Data_Max_Length                      : aliased Long := 256; 
  3432.       Writer_User_Data_Max_Length                           : aliased Long := 256; 
  3433.       Reader_User_Data_Max_Length                           : aliased Long := 256; 
  3434.       Max_Partitions                                        : aliased Long := 64; 
  3435.       Max_Partition_Cumulative_Characters                   : aliased Long := 256; 
  3436.       Default_Partition_Matches_All                         : aliased Boolean := False; 
  3437.       Allow_No_Partitions                                   : aliased Boolean := False; 
  3438.       Type_Code_Max_Serialized_Length                       : aliased Long := 0; 
  3439.       Type_Object_Max_Serialized_Length                     : aliased Long := 8192; 
  3440.       Serialized_Type_Object_Dynamic_Allocation_Threshold   : aliased Long := 8192; 
  3441.       Type_Object_Max_Deserialized_Length                   : aliased Long := -1; 
  3442.       Deserialized_Type_Object_Dynamic_Allocation_Threshold : aliased Long := 4096; 
  3443.       Contentfilter_Property_Max_Length                     : aliased Long := 256; 
  3444.       Channel_Seq_Max_Length                                : aliased Long := 32; 
  3445.       Channel_Filter_Expression_Max_Length                  : aliased Long := 256; 
  3446.       Participant_Property_List_Max_Length                  : aliased Long := 32; 
  3447.       Participant_Property_String_Max_Length                : aliased Long := 4096; 
  3448.       Writer_Property_List_Max_Length                       : aliased Long := 32; 
  3449.       Writer_Property_String_Max_Length                     : aliased Long := 1024; 
  3450.       Reader_Property_List_Max_Length                       : aliased Long := 32; 
  3451.       Reader_Property_String_Max_Length                     : aliased Long := 1024; 
  3452.       Plugin_Info_Parameter_Max_Length                      : aliased Long := 265; 
  3453.       Max_Endpoint_Groups                                   : aliased Long := 32; 
  3454.       Max_Endpoint_Group_Cumulative_Characters              : aliased Long := 1024; 
  3455.       Transport_Info_List_Max_Length                        : aliased Long := 12; 
  3456.       Ignored_Entity_Replacement_Kind                       : aliased DomainParticipantResourceLimitsIgnoredEntityReplacementKind 
  3457.         := NO_REPLACEMENT_IGNORED_ENTITY_REPLACEMENT; 
  3458.       Remote_Topic_Query_Allocation                         : aliased AllocationSettings_T := (1, -1, -1); 
  3459.       Remote_Topic_Query_Hash_Buckets                       : aliased Long := 1; 
  3460.       Writer_Data_Tag_List_Max_Length                       : aliased Long := 0; 
  3461.       Writer_Data_Tag_String_Max_Length                     : aliased Long := 0; 
  3462.       Reader_Data_Tag_List_Max_Length                       : aliased Long := 0; 
  3463.       Reader_Data_Tag_String_Max_Length                     : aliased Long := 0; 
  3464.       Shmem_Ref_Transfer_Mode_Max_Segments                  : aliased Unsigned_Long := RTIDDS.Low_Level.ndds_pres_pres_participant_h.PRES_SHMEM_REF_TRANSFER_MODE_MAX_SEGMENTS; 
  3465.    end record with Convention => C; 
  3466.    pragma Compile_Time_Error (DomainParticipantResourceLimitsQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_DomainParticipantResourceLimitsQosPolicy'Size, "DomainParticipantResourceLimitsQosPolicy size missmatch."); 
  3467.  
  3468.    --  <dref>DomainParticipantResourceLimitsQosPolicy</dref> 
  3469.    --  <dref name="local_writer_allocation">DomainParticipantResourceLimitsQosPolicy_local_writer_allocation</dref> 
  3470.    --  <dref name="local_reader_allocation">DomainParticipantResourceLimitsQosPolicy_local_reader_allocation</dref> 
  3471.    --  <dref name="local_publisher_allocation">DomainParticipantResourceLimitsQosPolicy_local_publisher_allocation</dref> 
  3472.    --  <dref name="local_subscriber_allocation">DomainParticipantResourceLimitsQosPolicy_local_subscriber_allocation</dref> 
  3473.    --  <dref name="local_topic_allocation">DomainParticipantResourceLimitsQosPolicy_local_topic_allocation</dref> 
  3474.    --  <dref name="remote_writer_allocation">DomainParticipantResourceLimitsQosPolicy_remote_writer_allocation</dref> 
  3475.    --  <dref name="remote_reader_allocation">DomainParticipantResourceLimitsQosPolicy_remote_reader_allocation</dref> 
  3476.    --  <dref name="remote_partiicpant_allocation">DomainParticipantResourceLimitsQosPolicy_remote_participant_allocation</dref> 
  3477.    --  <dref name="matching_writer_reader_pair_allocation">DomainParticipantResourceLimitsQosPolicy_matching_writer_reader_pair_allocation</dref> 
  3478.    --  <dref name="matching_reader_writer_pair_allocation">DomainParticipantResourceLimitsQosPolicy_matching_reader_writer_pair_allocation</dref> 
  3479.    --  <dref name="ignored_entity_allocation">DomainParticipantResourceLimitsQosPolicy_ignored_entity_allocation</dref> 
  3480.    --  <dref name="content_filtered_topic_allocation">DomainParticipantResourceLimitsQosPolicy_content_filtered_topic_allocation</dref> 
  3481.    --  <dref name="content_filter_allocation">DomainParticipantResourceLimitsQosPolicy_content_filter_allocation</dref> 
  3482.    --  <dref name="read_condition_allocation">DomainParticipantResourceLimitsQosPolicy_read_condition_allocation</dref> 
  3483.    --  <dref name="query_condition_allocation">DomainParticipantResourceLimitsQosPolicy_query_condition_allocation</dref> 
  3484.    --  <dref name="outstanding_asynchronous_sample_allocation">DomainParticipantResourceLimitsQosPolicy_outstanding_asynchronous_sample_allocation</dref> 
  3485.    --  <dref name="flow_controller_allocation">DomainParticipantResourceLimitsQosPolicy_flow_controller_allocation</dref> 
  3486.    --  <dref name="local_writer_hash_buckets">DomainParticipantResourceLimitsQosPolicy_local_writer_hash_buckets</dref> 
  3487.    --  <dref name="local_reader_hash_buckets">DomainParticipantResourceLimitsQosPolicy_local_reader_hash_buckets</dref> 
  3488.    --  <dref name="local_publisher_hash_buckets">DomainParticipantResourceLimitsQosPolicy_local_publisher_hash_buckets</dref> 
  3489.    --  <dref name="local_subscriber_hash_buckets">DomainParticipantResourceLimitsQosPolicy_local_subscriber_hash_buckets</dref> 
  3490.    --  <dref name="local_topic_hash_buckets">DomainParticipantResourceLimitsQosPolicy_local_topic_hash_buckets</dref> 
  3491.    --  <dref name="remote_writer_hash_buckets">DomainParticipantResourceLimitsQosPolicy_remote_writer_hash_buckets</dref> 
  3492.    --  <dref name="remote_reader_hash_buckets">DomainParticipantResourceLimitsQosPolicy_remote_reader_hash_buckets</dref> 
  3493.    --  <dref name="remote_participant_hash_buckets">DomainParticipantResourceLimitsQosPolicy_remote_participant_hash_buckets</dref> 
  3494.    --  <dref name="matching_writer_reader_pair_hash_buckets">DomainParticipantResourceLimitsQosPolicy_matching_writer_reader_pair_hash_buckets</dref> 
  3495.    --  <dref name="matching_reader_writer_pair_hash_buckets">DomainParticipantResourceLimitsQosPolicy_matching_reader_writer_pair_hash_buckets</dref> 
  3496.    --  <dref name="ignored_entity_hash_buckets">DomainParticipantResourceLimitsQosPolicy_ignored_entity_hash_buckets</dref> 
  3497.    --  <dref name="content_filtered_topic_hash_buckets">DomainParticipantResourceLimitsQosPolicy_content_filtered_topic_hash_buckets</dref> 
  3498.    --  <dref name="content_filter_hash_buckets">DomainParticipantResourceLimitsQosPolicy_content_filter_hash_buckets</dref> 
  3499.    --  <dref name="flow_controller_hash_buckets">DomainParticipantResourceLimitsQosPolicy_flow_controller_hash_buckets</dref> 
  3500.    --  <dref name="max_gather_destinations">DomainParticipantResourceLimitsQosPolicy_max_gather_destinations</dref> 
  3501.    --  <dref name="participant_user_data_max_length">DomainParticipantResourceLimitsQosPolicy_participant_user_data_max_length</dref> 
  3502.    --  <dref internal="true" name="inter_participant_data_max_length">DomainParticipantResourceLimitsQosPolicy_inter_participant_data_max_length</dref> 
  3503.    --  <dref name="topic_data_max_length">DomainParticipantResourceLimitsQosPolicy_topic_data_max_length</dref> 
  3504.    --  <dref name="publisher_group_data_max_length">DomainParticipantResourceLimitsQosPolicy_publisher_group_data_max_length</dref> 
  3505.    --  <dref name="subscriber_group_data_max_length">DomainParticipantResourceLimitsQosPolicy_subscriber_group_data_max_length</dref> 
  3506.    --  <dref name="writer_user_data_max_length">DomainParticipantResourceLimitsQosPolicy_writer_user_data_max_length</dref> 
  3507.    --  <dref name="reader_user_data_max_length">DomainParticipantResourceLimitsQosPolicy_reader_user_data_max_length</dref> 
  3508.    --  <dref name="max_partitions">DomainParticipantResourceLimitsQosPolicy_max_partitions</dref> 
  3509.    --  <dref name="max_partition_cumulative_characters">DomainParticipantResourceLimitsQosPolicy_max_partition_cumulative_characters</dref> 
  3510.    --  <dref internal="true" name="default_partition_matches_all">DomainParticipantResourceLimitsQosPolicy_default_partition_matches_all</dref> 
  3511.    --  <dref internal="true" name="allow_no_partitions">DomainParticipantResourceLimitsQosPolicy_allow_no_partitions</dref> 
  3512.    --  <dref name="type_code_max_serialized_length">DomainParticipantResourceLimitsQosPolicy_type_code_max_serialized_length</dref> 
  3513.    --  <dref name="Type_Object_Max_Serialized_Length">DomainParticipantResourceLimitsQosPolicy_type_object_max_serialized_length</dref> 
  3514.    --  <dref internal="true" name="Serialized_Type_Object_Dynamic_Allocation_Threshold">DomainParticipantResourceLimitsQosPolicy_serialized_type_object_dynamic_allocation_threshold</dref> 
  3515.    --  <dref name="Type_Object_Max_Deserialized_Length">DomainParticipantResourceLimitsQosPolicy_type_object_max_deserialized_length</dref> 
  3516.    --  <dref name="Deserialized_Type_Object_Dynamic_Allocation_Threshold">DomainParticipantResourceLimitsQosPolicy_deserialized_type_object_dynamic_allocation_threshold</dref> 
  3517.    --  <dref name="contentfilter_property_max_length">DomainParticipantResourceLimitsQosPolicy_contentfilter_property_max_length</dref> 
  3518.    --  <dref name="channel_seq_max_length">DomainParticipantResourceLimitsQosPolicy_channel_seq_max_length</dref> 
  3519.    --  <dref name="channel_filter_expression_max_length">DomainParticipantResourceLimitsQosPolicy_channel_filter_expression_max_length</dref> 
  3520.    --  <dref name="participant_property_list_max_length">DomainParticipantResourceLimitsQosPolicy_participant_property_list_max_length</dref> 
  3521.    --  <dref name="participant_property_string_max_length">DomainParticipantResourceLimitsQosPolicy_participant_property_string_max_length</dref> 
  3522.    --  <dref name="writer_property_list_max_length">DomainParticipantResourceLimitsQosPolicy_writer_property_list_max_length</dref> 
  3523.    --  <dref name="writer_property_string_max_length">DomainParticipantResourceLimitsQosPolicy_writer_property_string_max_length</dref> 
  3524.    --  <dref name="reader_property_list_max_length">DomainParticipantResourceLimitsQosPolicy_reader_property_list_max_length</dref> 
  3525.    --  <dref name="reader_property_string_max_length">DomainParticipantResourceLimitsQosPolicy_reader_property_string_max_length</dref> 
  3526.    --  <dref internal="true" name="plugin_info_parameter_max_length">DomainParticipantResourceLimitsQosPolicy_plugin_info_parameter_max_length</dref> 
  3527.    --  <dref name="max_endpoint_groups">DomainParticipantResourceLimitsQosPolicy_max_endpoint_groups</dref> 
  3528.    --  <dref name="max_endpoint_group_cumulative_characters">DomainParticipantResourceLimitsQosPolicy_max_endpoint_group_cumulative_characters</dref> 
  3529.    --  <dref name="transport_info_list_max_length">DomainParticipantResourceLimitsQosPolicy_transport_info_list_max_length</dref> 
  3530.    --  <dref name="ignored_entity_replacement_kind">DomainParticipantResourceLimitsQosPolicy_ignored_entity_replacement_kind</dref> 
  3531.    --  <dref internal="true" name="Remote_Topic_Query_Allocation">DomainParticipantResourceLimitsQosPolicy_remote_topic_query_allocation</dref> 
  3532.    --  <dref internal="true" name="Remote_Topic_Query_Hash_Buckets">DomainParticipantResourceLimitsQosPolicy_remote_topic_query_hash_buckets</dref> 
  3533.    --  <dref internal="true" name="Writer_Data_Tag_List_Max_Length">DomainParticipantResourceLimitsQosPolicy_writer_data_tag_list_max_length</dref> 
  3534.    --  <dref internal="true" name="Writer_Data_Tag_String_Max_Length">DomainParticipantResourceLimitsQosPolicy_writer_data_tag_string_max_length</dref> 
  3535.    --  <dref internal="true" name="Reader_Data_Tag_List_Max_Length">DomainParticipantResourceLimitsQosPolicy_reader_data_tag_list_max_length</dref> 
  3536.    --  <dref internal="true" name="Reader_Data_Tag_String_Max_Length">DomainParticipantResourceLimitsQosPolicy_reader_data_tag_string_max_length</dref> 
  3537.    --  <dref internal="true" name="Shmem_Ref_Transfer_Mode_Max_Segments">DomainParticipantResourceLimitsQosPolicy_shmem_ref_transfer_mode_max_segments</dref> 
  3538.  
  3539.    DOMAIN_PARTICIPANT_RESOURCE_LIMITS_QOS_POLICY_DEFAULT : constant DomainParticipantResourceLimitsQosPolicy := (others => <>); 
  3540.  
  3541.  
  3542.  
  3543.    --  ---------------------------------------------------------- 
  3544.    --                 EVENT (eXtension QoS) 
  3545.    --  ---------------------------------------------------------- 
  3546.  
  3547.    EVENT_QOS_POLICY_NAME                                 : constant DDS.String := To_DDS_String ("Event"); 
  3548.    --  <defgroup>EventQosGroupDocs</defgroup> 
  3549.    --  <dref>EVENT_QOS_POLICY_NAME</dref> 
  3550.  
  3551.    type EventQosPolicy is record 
  3552.       Thread        : aliased ThreadSettings_T; 
  3553.       Initial_Count : aliased Long := 256; 
  3554.       Max_Count     : aliased Long := -1; 
  3555.    end record with Convention => C; 
  3556.    pragma Compile_Time_Error (EventQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_EventQosPolicy'Size, "EventQosPolicy size missmatch."); 
  3557.  
  3558.    --  <dref>EventQosPolicy</dref> 
  3559.    --  <dref name="Thread">EventQosPolicy_thread</dref> 
  3560.    --  <dref name="Initial_Count">EventQosPolicy_initial_count</dref> 
  3561.    --  <dref name="Max_Count">EventQosPolicy_max_count</dref> 
  3562.  
  3563.    EVENT_QOS_POLICY_DEFAULT : constant EventQosPolicy := (others => <>); 
  3564.  
  3565.    --  ---------------------------------------------------------- 
  3566.    --                 DATABASE (eXtension QoS) 
  3567.    --  ---------------------------------------------------------- 
  3568.  
  3569.    DATABASE_QOS_POLICY_NAME : constant DDS.String := To_DDS_String ("Database"); 
  3570.    --  <defgroup>DatabaseQosGroupDocs</defgroup> 
  3571.    --  <dref>DATABASE_QOS_POLICY_NAME</dref> 
  3572.  
  3573.    type DatabaseQosPolicy is record 
  3574.       Thread                      : aliased ThreadSettings_T; 
  3575.       Shutdown_Timeout            : aliased Duration_T := (15, 0); 
  3576.       Cleanup_Period              : aliased Duration_T := (61, 0); 
  3577.       Shutdown_Cleanup_Period     : aliased Duration_T := (1, 0); 
  3578.       Initial_Records             : aliased Long := 1024; 
  3579.       Max_Skiplist_Level          : aliased Long := 7; 
  3580.       Table_Allocation_Block_Size : aliased Long := 48; 
  3581.       Max_Weak_References         : aliased Long := -1; 
  3582.       Initial_Weak_References     : aliased Long := 2049; 
  3583.    end record with Convention => C; 
  3584.    pragma Compile_Time_Error (DatabaseQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_DatabaseQosPolicy'Size, "DatabaseQosPolicy size missmatch."); 
  3585.  
  3586.    --  <dref>DatabaseQosPolicy</dref> 
  3587.    --  <dref name="Thread">DatabaseQosPolicy_thread</dref> 
  3588.    --  <dref name="Shutdown_Timeout">DatabaseQosPolicy_shutdown_timeout</dref> 
  3589.    --  <dref name="Cleanup_Period">DatabaseQosPolicy_cleanup_period</dref> 
  3590.    --  <dref name="Shutdown_Cleanup_Period">DatabaseQosPolicy_shutdown_cleanup_period</dref> 
  3591.    --  <dref name="Initial_Records">DatabaseQosPolicy_initial_records</dref> 
  3592.    --  <dref name="Max_Skiplist_Level">DatabaseQosPolicy_max_skiplist_level</dref> 
  3593.    --  <dref internal="true" name="Table_Allocation_Block_Sizee">DatabaseQosPolicy_table_allocation_block_size</dref> 
  3594.    --  <dref name="Max_Weak_References">DatabaseQosPolicy_max_weak_references</dref> 
  3595.    --  <dref name="Initial_Weak_References">DatabaseQosPolicy_initial_weak_references</dref> 
  3596.  
  3597.    DATABASE_QOS_POLICY_DEFAULT :  constant DatabaseQosPolicy := (others => <>); 
  3598.  
  3599.    --  ---------------------------------------------------------- 
  3600.    --                 RECEIVER_POOL (eXtension QoS) 
  3601.    --  ---------------------------------------------------------- 
  3602.  
  3603.    RECEIVERPOOL_QOS_POLICY_NAME : DDS.String := To_DDS_String ("ReceiverPool"); 
  3604.    --  <defgroup>ReceiverPoolQosGroupDocs</defgroup> 
  3605.    --  <dref>RECEIVERPOOL_QOS_POLICY_NAME</dref> 
  3606.  
  3607.    ReceiverPoolQosPolicy_MAX_RECEIVE_THREADS_DEFAULT : constant Long := -1; 
  3608.  
  3609.    type ReceiverPoolQosPolicy is record 
  3610.       Thread                  : aliased ThreadSettings_T; 
  3611.       Initial_Receive_Threads : aliased Long := 4; 
  3612.       Max_Receive_Threads     : aliased Long := ReceiverPoolQosPolicy_MAX_RECEIVE_THREADS_DEFAULT; 
  3613.       Buffer_Size             : aliased Long := 9216; 
  3614.       Buffer_Alignment        : aliased Long := 16; 
  3615.       Is_Timestamp_Enabled    : aliased DDS.Boolean := True; 
  3616.    end record with Convention => C; 
  3617.    pragma Compile_Time_Error (ReceiverPoolQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_ReceiverPoolQosPolicy'Size, "ReceiverPoolQosPolicy size missmatch."); 
  3618.  
  3619.    --  <dref>ReceiverPoolQosPolicy</dref> 
  3620.    --  <dref name="Thread">ReceiverPoolQosPolicy_thread</dref> 
  3621.    --  <dref internal="true" name="Initial_Receive_Threads">ReceiverPoolQosPolicy_initial_receive_threads</dref> 
  3622.    --  <dref internal="true" name="Max_Receive_Threads">ReceiverPoolQosPolicy_max_receive_threads</dref> 
  3623.    --  <dref name="Buffer_Size">ReceiverPoolQosPolicy_buffer_size</dref> 
  3624.    --  <dref name="Buffer_Alignment">ReceiverPoolQosPolicy_buffer_alignment</dref> 
  3625.    --  <dref internal="true" name="Is_Timestamp_Enabled">ReceiverPoolQosPolicy_is_timestamp_enabled</dref> 
  3626.  
  3627.  
  3628.    RECEIVER_POOL_QOS_POLICY_DEFAULT : constant ReceiverPoolQosPolicy := (others => <>); 
  3629.  
  3630.    --  ---------------------------------------------------------- 
  3631.    --                  BuiltinTopicReaderResourceLimits_t 
  3632.    --  ---------------------------------------------------------- 
  3633.  
  3634.    type BuiltinTopicReaderResourceLimits_T is record 
  3635.       Initial_Samples                          : aliased Long := 64; 
  3636.       Max_Samples                              : aliased Long := -1; 
  3637.       Initial_Infos                            : aliased Long := 64; 
  3638.       Max_Infos                                : aliased Long := -1; 
  3639.       Initial_Outstanding_Reads                : aliased Long := 2; 
  3640.       Max_Outstanding_Reads                    : aliased Long := -1; 
  3641.       Max_Samples_Per_Read                     : aliased Long := 1024; 
  3642.       Disable_Fragmentation_Support            : aliased DDS.Boolean := False; 
  3643.       Max_Fragmented_Samples                   : aliased Long := 1024; 
  3644.       Initial_Fragmented_Samples               : aliased Long := 4; 
  3645.       Max_Fragmented_Samples_Per_Remote_Writer : aliased Long := 256; 
  3646.       Max_Fragments_Per_Sample                 : aliased Long := 512; 
  3647.       Dynamically_Allocate_Fragmented_Samples  : aliased DDS.Boolean := False; 
  3648.    end record with Convention => C; 
  3649.  
  3650.    --  <dref>BuiltinTopicReaderResourceLimits_t</dref> 
  3651.    --  <dref name="Initial_Samples">BuiltinTopicReaderResourceLimits_t_initial_samples</dref> 
  3652.    --  <dref name="Max_Samples">BuiltinTopicReaderResourceLimits_t_max_samples</dref> 
  3653.    --  <dref name="Initial_Infos">BuiltinTopicReaderResourceLimits_t_initial_infos</dref> 
  3654.    --  <dref name="Max_Infos">BuiltinTopicReaderResourceLimits_t_max_infos</dref> 
  3655.    --  <dref name="Initial_Outstanding_Reads">BuiltinTopicReaderResourceLimits_t_initial_outstanding_reads</dref> 
  3656.    --  <dref name="Max_Outstanding_Reads">BuiltinTopicReaderResourceLimits_t_max_outstanding_reads</dref> 
  3657.    --  <dref name="Max_Samples_Per_Read">BuiltinTopicReaderResourceLimits_t_max_samples_per_read</dref> 
  3658.    --  <dref name="Disable_Fragmentation_Support">BuiltinTopicReaderResourceLimits_t_disable_fragmentation_support</dref> 
  3659.    --  <dref name="Max_Fragmented_Samples">BuiltinTopicReaderResourceLimits_t_max_fragmented_samples</dref> 
  3660.    --  <dref name="Initial_Fragmented_Samples">BuiltinTopicReaderResourceLimits_t_initial_fragmented_samples</dref> 
  3661.    --  <dref name="Max_Fragmented_Samples_Per_Remote_Writer">BuiltinTopicReaderResourceLimits_t_max_fragmented_samples_per_remote_writer</dref> 
  3662.    --  <dref name="Max_Fragments_Per_Sample">BuiltinTopicReaderResourceLimits_t_max_fragments_per_sample</dref> 
  3663.    --  <dref name="Dynamically_allocate_Fragmented_Samples">BuiltinTopicReaderResourceLimits_t_dynamically_allocate_fragmented_samples</dref> 
  3664.  
  3665.    BUILTIN_TOPIC_READER_RESOURCE_LIMITS_DEFAULT : constant BuiltinTopicReaderResourceLimits_T := (others => <>); 
  3666.    --  <dref internal="true"></dref> 
  3667.  
  3668.    BUILTIN_TOPIC_KEY_TYPE_NATIVE_LENGTH         : constant := 4; 
  3669.    --  <dref internal="true"></dref> 
  3670.  
  3671.    type  BuiltinTopicKey_Array_T is array 
  3672.      (0 .. BUILTIN_TOPIC_KEY_TYPE_NATIVE_LENGTH - 1) of Builtin_Topic_Key_Type_Native; 
  3673.  
  3674.    type BuiltinTopicKey_T is record 
  3675.       Value : BuiltinTopicKey_Array_T := (others => 0); 
  3676.    end record with Convention => C; 
  3677.    function Hash (Item : DDS.BuiltinTopicKey_T) return Ada.Containers.Hash_Type; 
  3678.  
  3679.    --  <defgroup>BuiltInTopicCommonGroupDocs</defgroup> 
  3680.    --  <dref>BuiltinTopicKey_t</dref> 
  3681.    --  <dref name="Value">BuiltinTopicKey_t_value</dref> 
  3682.  
  3683.    type BuiltinTopicKey_T_Access is access all BuiltinTopicKey_T; 
  3684.  
  3685.    BuiltinTopicKey_T_INITIALIZER : constant BuiltinTopicKey_T := 
  3686.                                      (Value => (0, 0, 0, 0)); 
  3687.    --  <dref internal="true"></dref> 
  3688.  
  3689.    function BuiltinTopicKey_Equals (A : in BuiltinTopicKey_T_Access; 
  3690.                                     B : in BuiltinTopicKey_T_Access) 
  3691.                                     return DDS.Boolean; 
  3692.    --  <dref internal="true"></dref> 
  3693.  
  3694.    --  ---------------------------------------------------------- 
  3695.    --                  PUBLISHMODE (eXtension QoS) 
  3696.    --  ---------------------------------------------------------- 
  3697.  
  3698.    PUBLISHMODE_QOS_POLICY_NAME   : constant DDS.String := To_DDS_String ("PublishMode"); 
  3699.    --  <defgroup>PublishModeQosGroupDocs</defgroup> 
  3700.    --  <dref>PUBLISHMODE_QOS_POLICY_NAME</dref> 
  3701.  
  3702.    type PublishModeQosPolicyKind is new Unsigned_Long; 
  3703.    --  <dref>PublishModeQosPolicyKind</dref> 
  3704.  
  3705.    SYNCHRONOUS_PUBLISH_MODE_QOS  : constant PublishModeQosPolicyKind := 0; 
  3706.    --  <dref>PublishModeQosPolicyKind_SYNCHRONOUS_PUBLISH_MODE_QOS</dref> 
  3707.  
  3708.    ASYNCHRONOUS_PUBLISH_MODE_QOS : constant PublishModeQosPolicyKind := 1; 
  3709.    --  <dref>PublishModeQosPolicyKind_ASYNCHRONOUS_PUBLISH_MODE_QOS</dref> 
  3710.  
  3711.    PUBLICATION_PRIORITY_UNDEFINED : constant Long := 0; 
  3712.    --  <dref>PUBLICATION_PRIORITY_UNDEFINED</dref> 
  3713.  
  3714.    PUBLICATION_PRIORITY_AUTOMATIC : constant Long := -1; 
  3715.    --  <dref>PUBLICATION_PRIORITY_AUTOMATIC</dref> 
  3716.  
  3717.    type PublishModeQosPolicy is record 
  3718.       Kind                 : aliased PublishModeQosPolicyKind := SYNCHRONOUS_PUBLISH_MODE_QOS; 
  3719.       Flow_Controller_Name : aliased DDS.String; 
  3720.       Priority             : aliased Long := PUBLICATION_PRIORITY_UNDEFINED; 
  3721.    end record with Convention => C; 
  3722.    pragma Compile_Time_Error (PublishModeQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_PublishModeQosPolicy'Size, "PublishModeQosPolicy size missmatch."); 
  3723.  
  3724.    --  <dref>PublishModeQosPolicy</dref> 
  3725.    --  <dref name="Kind">PublishModeQosPolicy_kind</dref> 
  3726.    --  <dref name="Flow_Controller_Name">PublishModeQosPolicy_flow_controller_name</dref> 
  3727.    --  <dref name="Priority">PublishModeQosPolicy_priority</dref> 
  3728.  
  3729.    PUBLISH_MODE_QOS_POLICY_DEFAULT : constant PublishModeQosPolicy := 
  3730.                                        (Kind                      => SYNCHRONOUS_PUBLISH_MODE_QOS, 
  3731.                                         Flow_Controller_Name      => (Data => DDS.NULL_STRING.Data), 
  3732.                                         Priority                  => PUBLICATION_PRIORITY_UNDEFINED 
  3733.                                        ); 
  3734.    --  <dref internal="true"></dref> 
  3735.  
  3736.    --  ---------------------------------------------------------- 
  3737.    --                  DISCOVERY_CONFIG (eXtension QoS) 
  3738.    --  ---------------------------------------------------------- 
  3739.  
  3740.    DISCOVERYCONFIG_QOS_POLICY_NAME : constant DDS.String := To_DDS_String ("DiscoveryConfig"); 
  3741.    --  <defgroup>DiscoveryConfigQosGroupDocs</defgroup> 
  3742.    --  <dref>DISCOVERYCONFIG_QOS_POLICY_NAME</dref> 
  3743.  
  3744.    type DiscoveryConfigBuiltinPluginKind is new DDS.Unsigned_Long; 
  3745.    --  <dref>DiscoveryConfigBuiltinPluginKind</dref> 
  3746.  
  3747.    subtype DiscoveryConfigBuiltinPluginKindMask is  DiscoveryConfigBuiltinPluginKind; 
  3748.    --  <dref>DiscoveryConfigBuiltinPluginKindMask</dref> 
  3749.  
  3750.    DISCOVERYCONFIG_BUILTIN_SPDP  : constant  DiscoveryConfigBuiltinPluginKindMask := 2#0001#; 
  3751.    --  <dref internal="true">DiscoveryConfigBuiltinPluginKind_DISCOVERYCONFIG_BUILTIN_SPDP</dref> 
  3752.  
  3753.    DISCOVERYCONFIG_BUILTIN_SEDP  : constant  DiscoveryConfigBuiltinPluginKindMask := 2#0010#; 
  3754.    --  <dref internal="true">DiscoveryConfigBuiltinPluginKind_DISCOVERYCONFIG_BUILTIN_SEDP</dref> 
  3755.  
  3756.    DISCOVERYCONFIG_BUILTIN_SDP   : constant  DiscoveryConfigBuiltinPluginKindMask := 
  3757.                                      (DISCOVERYCONFIG_BUILTIN_SPDP or DISCOVERYCONFIG_BUILTIN_SEDP); 
  3758.    --  <dref>DiscoveryConfigBuiltinPluginKind_DISCOVERYCONFIG_BUILTIN_SDP</dref> 
  3759.  
  3760.    DISCOVERYCONFIG_BUILTIN_EDS   : constant  DiscoveryConfigBuiltinPluginKindMask := 2#0100#; 
  3761.    --  <dref internal="true">DiscoveryConfigBuiltinPluginKind_DISCOVERYCONFIG_BUILTIN_EDS</dref> 
  3762.  
  3763.    DISCOVERYCONFIG_BUILTIN_PLUGIN_MASK_ALL     : 
  3764.    constant  DiscoveryConfigBuiltinPluginKindMask := 16#EFFF#; 
  3765.    --  <dref>DISCOVERYCONFIG_BUILTIN_PLUGIN_MASK_ALL</dref> 
  3766.  
  3767.    DISCOVERYCONFIG_BUILTIN_PLUGIN_MASK_NONE    : 
  3768.    constant  DiscoveryConfigBuiltinPluginKindMask := 0; 
  3769.    --  <dref>DISCOVERYCONFIG_BUILTIN_PLUGIN_MASK_NONE</dref> 
  3770.  
  3771.    DISCOVERYCONFIG_BUILTIN_PLUGIN_MASK_DEFAULT : 
  3772.    constant  DiscoveryConfigBuiltinPluginKindMask := DISCOVERYCONFIG_BUILTIN_SDP; 
  3773.    --  <dref>DISCOVERYCONFIG_BUILTIN_PLUGIN_MASK_DEFAULT</dref> 
  3774.  
  3775.    type DiscoveryConfigBuiltinChannelKindMask is mod 2 ** 32; 
  3776.    type DiscoveryPluginPromiscuityKind is new Unsigned_Long; 
  3777.    --  <dref internal="true">DiscoveryPluginPromiscouityKind</dref> 
  3778.  
  3779.    DISCOVERYPLUGIN_DISCOVER_MATCHING_REMOTE_ENTITIES_PROMISCUITY : constant DiscoveryPluginPromiscuityKind := 1; 
  3780.    --  <dref internal="true">DiscoveryPluginPromiscouityKind_DISCOVERYPLUGIN_DISCOVER_MATCHING_REMOTE_ENTITIES_PROMISCUITY</dref> 
  3781.  
  3782.    DISCOVERYPLUGIN_DISCOVER_ALL_REMOTE_ENTITIES_PROMISCUITY : constant DiscoveryPluginPromiscuityKind := 16#FFFF#; 
  3783.    --  <dref internal="true">DiscoveryPluginPromiscouityKind_DISCOVERYPLUGIN_DISCOVER_ALL_REMOTE_ENTITIES_PROMISCUITY</dref> 
  3784.  
  3785.    type RemoteParticipantPurgeKind is new Unsigned_Long; 
  3786.    --  <dref>RemoteParticipantPurgeKind</dref> 
  3787.  
  3788.    LIVELINESS_BASED_REMOTE_PARTICIPANT_PURGE : constant RemoteParticipantPurgeKind := 0; 
  3789.    --  <dref>RemoteParticipantPurgeKind_LIVELINESS_BASED_REMOTE_PARTICIPANT_PURGE</dref> 
  3790.  
  3791.    NO_REMOTE_PARTICIPANT_PURGE   : constant RemoteParticipantPurgeKind := 1; 
  3792.    --  <dref>RemoteParticipantPurgeKind_NO_REMOTE_PARTICIPANT_PURGE</dref> 
  3793.  
  3794.    type DiscoveryBuiltinReaderFragmentationResourceLimits_T is record 
  3795.       Disable_Fragmentation_Support            : aliased DDS.Boolean := False; 
  3796.       Max_Fragmented_Samples                   : aliased Long := 1024; 
  3797.       Initial_Fragmented_Samples               : aliased Long := 4; 
  3798.       Max_Fragmented_Samples_Per_Remote_Writer : aliased Long := 256; 
  3799.       Max_Fragments_Per_Sample                 : aliased Long := 512; 
  3800.       Dynamically_Allocate_Fragmented_Samples  : aliased DDS.Boolean := False; 
  3801.    end record with Convention => C; 
  3802.    pragma Compile_Time_Error (DiscoveryBuiltinReaderFragmentationResourceLimits_T'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_DiscoveryBuiltinReaderFragmentationResourceLimits_t'Size, "DiscoveryBuiltinReaderFragmentationResourceLimits_t size Missmatch"); 
  3803.    --  <dref internal="true">DiscoveryBuiltinReaderFragmentationResourceLimits_t</dref> 
  3804.    --  <dref internal="true" name="Disable_Fragmentation_Support">DiscoveryBuiltinReaderFragmentationResourceLimits_t_disable_fragmentation_support</dref> 
  3805.    --  <dref internal="true" name="Max_Fragmented_Samples">DiscoveryBuiltinReaderFragmentationResourceLimits_t_max_fragmented_samples</dref> 
  3806.    --  <dref internal="true" name="Initial_Fragmented_Samples">DiscoveryBuiltinReaderFragmentationResourceLimits_t_initial_fragmented_samples</dref> 
  3807.    --  <dref internal="true" name="Max_Fragmented_Per_Samples_Per_Remote_Writer">DiscoveryBuiltinReaderFragmentationResourceLimits_t_max_fragmented_per_samples_per_remote_writer</dref> 
  3808.    --  <dref internal="true" name="Max_Fragments_Per_Sample">DiscoveryBuiltinReaderFragmentationResourceLimits_t_max_fragments_per_sample</dref> 
  3809.    --  <dref internal="true" name="Dynamically_Allocate_Fragmented_Samples">DiscoveryBuiltinReaderFragmentationResourceLimits_t_dynamically_allocate_fragmented_samples</dref> 
  3810.  
  3811.  
  3812.    DISCOVERY_BUILTIN_READER_FRAGMENTATION_RESOURCE_LIMITS_DEFAULT : constant DiscoveryBuiltinReaderFragmentationResourceLimits_T := (others => <>); 
  3813.    --  <dref internal="true"></dref> 
  3814.  
  3815.    --  ---------------------------------------------------------- 
  3816.    --                  TYPESUPPORT (eXtension QoS) 
  3817.    --  ---------------------------------------------------------- 
  3818.  
  3819.    TYPESUPPORT_QOS_POLICY_NAME                                    : constant DDS.String := To_DDS_String ("TypeSupport"); 
  3820.    --  <defgroup>TypeSupportQosGroupDocs</defgroup> 
  3821.    --  <dref>TYPESUPPORT_QOS_POLICY_NAME</dref> 
  3822.  
  3823.    type CdrPaddingKind is 
  3824.      (ZERO_CDR_PADDING, 
  3825.       NOT_SET_CDR_PADDING, 
  3826.       AUTO_CDR_PADDING); 
  3827.    pragma Convention (C, CdrPaddingKind); 
  3828.    --  <dref>CdrPaddingKind</dref> 
  3829.    --  <dref name="ZERO_CDR_PADDING">CdrPaddingKind_ZERO_CDR_PADDING</dref> 
  3830.    --  <dref name="NOT_SET_CDR_PADDING">CdrPaddingKind_NOT_SET_CDR_PADDING</dref> 
  3831.    --  <dref name="AUTO_CDR_PADDING">CdrPaddingKind_AUTO_CDR_PADDING</dref> 
  3832.  
  3833.  
  3834.    type TypeSupportQosPolicy is record 
  3835.       Plugin_Data      : aliased DDS_Support.Void_Ptr; 
  3836.       Cdr_Padding_Kind : aliased CdrPaddingKind; 
  3837.    end record with Convention => C; 
  3838.    pragma Compile_Time_Error (TypeSupportQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_TypeSupportQosPolicy'Size, "TypeSupportQosPolicy size missmatch."); 
  3839.  
  3840.    --  <dref>TypeSupportQosPolicy</dref> 
  3841.    --  <dref name="Plugin_Data">TypeSupportQosPolicy_plugin_data</dref> 
  3842.    --  <dref name="cdr_padding_kind">TypeSupportQosPolicy_cdr_padding_kind</dref> 
  3843.  
  3844.    TYPESUPPORT_QOS_POLICY_DEFAULT : constant TypeSupportQosPolicy := 
  3845.                                       (Plugin_Data      => System.Null_Address, 
  3846.                                        Cdr_Padding_Kind => AUTO_CDR_PADDING); 
  3847.    --  <dref internal="true"></dref> 
  3848.  
  3849.    --  ---------------------------------------------------------- 
  3850.    --                  ASYNCHRONOUS_PUBLISHER (eXtension QoS) 
  3851.    --  ---------------------------------------------------------- 
  3852.  
  3853.    type ThreadBlockingKind is new Unsigned_Long; 
  3854.    --  <defgroup>AsynchronousPublisherQosGroupDocs</defgroup> 
  3855.    --  <dref internal="true">ThreadBlockingKind</dref> 
  3856.  
  3857.    SEMAPHORE_BLOCKING_KIND       : constant ThreadBlockingKind := 0; 
  3858.    --  <dref internal="true">ThreadBlockingKind_SEMAPHORE_BLOCKING_KIND</dref> 
  3859.  
  3860.    SPIN_BLOCKING_KIND            : constant ThreadBlockingKind := 1; 
  3861.    --  <dref internal="true">ThreadBlockingKind_SPIN_BLOCKING_KIND</dref> 
  3862.  
  3863.    ASYNCHRONOUSPUBLISHER_QOS_POLICY_NAME : constant DDS.String := To_DDS_String ("AsynchronousPublisher"); 
  3864.    --  <dref>ASYNCHRONOUSPUBLISHER_QOS_POLICY_NAME</dref> 
  3865.  
  3866.    type AsynchronousPublisherQosPolicy is record 
  3867.       Disable_Asynchronous_Write       : aliased DDS.Boolean := False; 
  3868.       Thread                           : aliased ThreadSettings_T; 
  3869.       Disable_Asynchronous_Batch       : aliased Boolean  := False; 
  3870.       Asynchronous_Batch_Thread        : aliased ThreadSettings_T; 
  3871.       Asynchronous_Batch_Blocking_Kind : aliased ThreadBlockingKind := 0; 
  3872.       Disable_Topic_Query_Publication  : aliased DDS.Boolean := False; 
  3873.       Topic_Query_Publication_Thread   : aliased ThreadSettings_T; 
  3874.    end record with Convention => C; 
  3875.    pragma Compile_Time_Error (AsynchronousPublisherQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_AsynchronousPublisherQosPolicy'Size, "AsynchronousPublisherQosPolicy size missmatch."); 
  3876.  
  3877.    --  <dref>AsynchronousPublisherQosPolicy</dref> 
  3878.    --  <dref name="Disable_Asynchronous_Write">AsynchronousPublisherQosPolicy_disable_asynchronous_write</dref> 
  3879.    --  <dref name="Thread">AsynchronousPublisherQosPolicy_thread</dref> 
  3880.    --  <dref name="disable_asynchronous_batch">AsynchronousPublisherQosPolicy_disable_asynchronous_batch</dref> 
  3881.    --  <dref internal="true" name="asynchronous_batch_blocking_kind">AsynchronousPublisherQosPolicy_asynchronous_batch_blocking_kind</dref> 
  3882.    --  <dref internal="true" name="Disable_Topic_Query_Publication">AsynchronousPublisherQosPolicy_disable_topic_query_publication</dref> 
  3883.    --  <dref internal="true" name="Topic_Query_Publication_Thread">AsynchronousPublisherQosPolicy_topic_query_publication_thread</dref> 
  3884.  
  3885.  
  3886.    ASYNCHRONOUS_PUBLISHER_QOS_POLICY_DEFAULT : constant AsynchronousPublisherQosPolicy := (others => <>); 
  3887.  
  3888.    type RtpsReliableWriterProtocol is record 
  3889.       Low_Watermark                                              : aliased Long := 0;  -- ndds/dds_c/dds_c_infrastructure.h:2186 
  3890.       High_Watermark                                             : aliased Long := 0;  -- ndds/dds_c/dds_c_infrastructure.h:2190 
  3891.       Heartbeat_Period                                           : aliased Duration_T := DURATION_ZERO;  -- ndds/dds_c/dds_c_infrastructure.h:2194 
  3892.       Fast_Heartbeat_Period                                      : aliased Duration_T := DURATION_ZERO;  -- ndds/dds_c/dds_c_infrastructure.h:2198 
  3893.       Late_Joiner_Heartbeat_Period                               : aliased Duration_T := DURATION_ZERO;  -- ndds/dds_c/dds_c_infrastructure.h:2202 
  3894.       Virtual_Heartbeat_Period                                   : aliased Duration_T := DURATION_ZERO;  -- ndds/dds_c/dds_c_infrastructure.h:2206 
  3895.       Samples_Per_Virtual_Heartbeat                              : aliased Long := 0;  -- ndds/dds_c/dds_c_infrastructure.h:2210 
  3896.       Max_Heartbeat_Retries                                      : aliased Long := 0;  -- ndds/dds_c/dds_c_infrastructure.h:2214 
  3897.       Inactivate_Nonprogressing_Readers                          : aliased Boolean := False;  -- ndds/dds_c/dds_c_infrastructure.h:2218 
  3898.       Heartbeats_Per_Max_Samples                                 : aliased Long := 0;  -- ndds/dds_c/dds_c_infrastructure.h:2222 
  3899.       Min_Nack_Response_Delay                                    : aliased Duration_T := DURATION_ZERO;  -- ndds/dds_c/dds_c_infrastructure.h:2226 
  3900.       Max_Nack_Response_Delay                                    : aliased Duration_T := DURATION_ZERO;  -- ndds/dds_c/dds_c_infrastructure.h:2230 
  3901.       Nack_Suppression_Duration                                  : aliased Duration_T := DURATION_ZERO;  -- ndds/dds_c/dds_c_infrastructure.h:2234 
  3902.       Max_Bytes_Per_Nack_Response                                : aliased Long := 0;  -- ndds/dds_c/dds_c_infrastructure.h:2238 
  3903.       Disable_Positive_Acks_Min_Sample_Keep_Duration             : aliased Duration_T := DURATION_ZERO;  -- ndds/dds_c/dds_c_infrastructure.h:2242 
  3904.       Disable_Positive_Acks_Max_Sample_Keep_Duration             : aliased Duration_T := DURATION_ZERO;  -- ndds/dds_c/dds_c_infrastructure.h:2246 
  3905.       Disable_Positive_Acks_Sample_Min_Separation                : aliased Duration_T := DURATION_ZERO;  -- ndds/dds_c/dds_c_infrastructure.h:2250 
  3906.       Disable_Positive_Acks_Enable_Adaptive_Sample_Keep_Duration : aliased Boolean := False;  -- ndds/dds_c/dds_c_infrastructure.h:2254 
  3907.       Disable_Positive_Acks_Enable_Spin_Wait                     : aliased Boolean := False;  -- ndds/dds_c/dds_c_infrastructure.h:2258 
  3908.       Disable_Positive_Acks_Decrease_Sample_Keep_Duration_Factor : aliased Long := 0;  -- ndds/dds_c/dds_c_infrastructure.h:2262 
  3909.       Disable_Positive_Acks_Increase_Sample_Keep_Duration_Factor : aliased Long := 0;  -- ndds/dds_c/dds_c_infrastructure.h:2266 
  3910.       Min_Send_Window_Size                                       : aliased Long := 0;  -- ndds/dds_c/dds_c_infrastructure.h:2270 
  3911.       Max_Send_Window_Size                                       : aliased Long := 0;  -- ndds/dds_c/dds_c_infrastructure.h:2274 
  3912.       Send_Window_Update_Period                                  : aliased Duration_T := DURATION_ZERO; 
  3913.       Send_Window_Increase_Factor                                : aliased Long := 0;  -- ndds/dds_c/dds_c_infrastructure.h:2282 
  3914.       Send_Window_Decrease_Factor                                : aliased Long := 0;  -- ndds/dds_c/dds_c_infrastructure.h:2286 
  3915.       Enable_Multicast_Periodic_Heartbeat                        : aliased Boolean := False;  -- ndds/dds_c/dds_c_infrastructure.h:2290 
  3916.       Multicast_Resend_Threshold                                 : aliased Long := 0;  -- ndds/dds_c/dds_c_infrastructure.h:2294 
  3917.       Disable_Repair_Piggyback_Heartbeat                         : aliased Boolean := False;  -- ndds/dds_c/dds_c_infrastructure.h:2298 
  3918.    end record 
  3919.      with Convention => C; 
  3920.    pragma Compile_Time_Error (RtpsReliableWriterProtocol'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_RtpsReliableWriterProtocol_t'Size, "RtpsReliableWriterProtocol'size missmatch"); 
  3921.  
  3922.  
  3923.    type RtpsReliableReaderProtocol is record 
  3924.       Min_Heartbeat_Response_Delay       : aliased Duration_T := DURATION_ZERO; 
  3925.       Max_Heartbeat_Response_Delay       : aliased Duration_T := DURATION_ZERO; 
  3926.       Heartbeat_Suppression_Duration     : aliased Duration_T := DURATION_ZERO; 
  3927.       Nack_Period                        : aliased Duration_T := DURATION_ZERO; 
  3928.       Receive_Window_Size                : aliased Long := 0; 
  3929.       Round_Trip_Time                    : aliased Duration_T := DURATION_ZERO; 
  3930.       App_Ack_Period                     : aliased Duration_T := DURATION_ZERO; 
  3931.       Min_App_Ack_Response_Keep_Duration : aliased Duration_T := DURATION_ZERO; 
  3932.       Samples_Per_App_Ack                : aliased Long := 0; 
  3933.    end record 
  3934.      with Convention => C; 
  3935.    pragma Compile_Time_Error (RtpsReliableReaderProtocol'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_RtpsReliableReaderProtocol_t'Size, "RtpsReliableReaderProtocol'size missmatch"); 
  3936.  
  3937.  
  3938.    type BuiltinTopicReaderResourceLimits is record 
  3939.       Initial_Samples                          : aliased Long := 0; 
  3940.       Max_Samples                              : aliased Long := 0; 
  3941.       Initial_Infos                            : aliased Long := 0; 
  3942.       Max_Infos                                : aliased Long := 0; 
  3943.       Initial_Outstanding_Reads                : aliased Long := 0; 
  3944.       Max_Outstanding_Reads                    : aliased Long := 0; 
  3945.       Max_Samples_Per_Read                     : aliased Long := 0; 
  3946.       Disable_Fragmentation_Support            : aliased Boolean := False; 
  3947.       Max_Fragmented_Samples                   : aliased Long := 0; 
  3948.       Initial_Fragmented_Samples               : aliased Long := 0; 
  3949.       Max_Fragmented_Samples_Per_Remote_Writer : aliased Long := 0; 
  3950.       Max_Fragments_Per_Sample                 : aliased Long := 0; 
  3951.       Dynamically_Allocate_Fragmented_Samples  : aliased Boolean := False; 
  3952.    end record 
  3953.      with Convention => C; 
  3954.    pragma Compile_Time_Error (BuiltinTopicReaderResourceLimits'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_BuiltinTopicReaderResourceLimits_t'Size, "BuiltinTopicReaderResourceLimits size missmatch"); 
  3955.  
  3956.    type DiscoveryConfigQosPolicy is record 
  3957.       Participant_Liveliness_Lease_Duration                  : aliased Duration_T := (100, 0); 
  3958.       Participant_Liveliness_Assert_Period                   : aliased Duration_T := (30, 0); 
  3959.       Participant_Announcement_Period                        : aliased Duration_T; 
  3960.       Remote_Participant_Purge_Kind                          : aliased RemoteParticipantPurgeKind := LIVELINESS_BASED_REMOTE_PARTICIPANT_PURGE; 
  3961.       Max_Liveliness_Loss_Detection_Period                   : aliased Duration_T := (60, 0); 
  3962.       Initial_Participant_Announcements                      : aliased Long := 5; 
  3963.       New_Remote_Participant_Announcements                   : aliased Long := 5; 
  3964.       Min_Initial_Participant_Announcement_Period            : aliased Duration_T := (1, 0); 
  3965.       Max_Initial_Participant_Announcement_Period            : aliased Duration_T := (1, 0); 
  3966.       Participant_Reader_Resource_Limits                     : aliased BuiltinTopicReaderResourceLimits_T := BUILTIN_TOPIC_READER_RESOURCE_LIMITS_DEFAULT; 
  3967.       Publication_Reader                                     : aliased RtpsReliableReaderProtocol_T := RTPS_RELIABLE_READER_PROTOCOL_DISCOVERY_CONFIG_DEFAULT; 
  3968.       Publication_Reader_Resource_Limits                     : aliased BuiltinTopicReaderResourceLimits_T; 
  3969.       Subscription_Reader                                    : aliased RtpsReliableReaderProtocol_T; 
  3970.       Subscription_Reader_Resource_Limits                    : aliased BuiltinTopicReaderResourceLimits_T; 
  3971.       Publication_Writer                                     : aliased RtpsReliableWriterProtocol_T; 
  3972.       Publication_Writer_Data_Lifecycle                      : aliased WriterDataLifecycleQosPolicy; 
  3973.       Subscription_Writer                                    : aliased RtpsReliableWriterProtocol_T; 
  3974.       Subscription_Writer_Data_Lifecycle                     : aliased WriterDataLifecycleQosPolicy; 
  3975.       Endpoint_Plugin_Redundancy_Level                       : aliased Long := 0; 
  3976.       Builtin_Discovery_Plugins                              : aliased DiscoveryConfigBuiltinPluginKindMask := DISCOVERYCONFIG_BUILTIN_PLUGIN_MASK_DEFAULT; 
  3977.       Enabled_Builtin_Channels                               : aliased DiscoveryConfigBuiltinChannelKindMask := 2#0000_0000_0000_0000#; 
  3978.       Participant_Message_Reader_Reliability_Kind            : aliased ReliabilityQosPolicyKind  := BEST_EFFORT_RELIABILITY_QOS; 
  3979.       Participant_Message_Reader                             : aliased RtpsReliableReaderProtocol_T; 
  3980.       Participant_Message_Writer                             : aliased RtpsReliableWriterProtocol_T; 
  3981.       Publication_Writer_Publish_Mode                        : aliased PublishModeQosPolicy; 
  3982.       Subscription_Writer_Publish_Mode                       : aliased PublishModeQosPolicy; 
  3983.       Asynchronous_Publisher                                 : aliased AsynchronousPublisherQosPolicy; 
  3984.       Default_Domain_Announcement_Period                     : aliased Duration_T; 
  3985.       Ignore_Default_Domain_Announcements                    : aliased Boolean := False; 
  3986.       Service_Request_Writer                                 : aliased RtpsReliableWriterProtocol_T; 
  3987.       Service_Request_Writer_Data_Lifecycle                  : aliased WriterDataLifecycleQosPolicy; 
  3988.       Service_Request_Writer_Publish_Mode                    : aliased PublishModeQosPolicy; 
  3989.       Service_Request_Reader                                 : aliased RtpsReliableReaderProtocol_T; 
  3990.       Locator_Reachability_Assert_Period                     : aliased Duration_T; 
  3991.       Locator_Reachability_Lease_Duration                    : aliased Duration_T; 
  3992.       Locator_Reachability_Change_Detection_Period           : aliased Duration_T; 
  3993.       Secure_Volatile_Writer                                 : aliased RtpsReliableWriterProtocol_T; 
  3994.       Secure_Volatile_Writer_Publish_Mode                    : aliased PublishModeQosPolicy; 
  3995.       Secure_Volatile_Reader                                 : aliased RtpsReliableReaderProtocol_T; 
  3996.       Endpoint_Type_Object_LB_Serialization_Threshold        : aliased Long := 0; 
  3997.       Dns_Tracker_Polling_Period                             : aliased Duration_T; 
  3998.       Participant_Configuration_Writer_Publish_Mode          : aliased PublishModeQosPolicy; 
  3999.       Participant_Configuration_Writer                       : aliased RtpsReliableWriterProtocol; 
  4000.       Participant_Configuration_Writer_Data_Lifecycle        : aliased WriterDataLifecycleQosPolicy; 
  4001.       Participant_Configuration_Reader                       : aliased RtpsReliableReaderProtocol; 
  4002.       Participant_Configuration_Reader_Resource_Limits       : aliased BuiltinTopicReaderResourceLimits; 
  4003.       Sedp_Rely_On_Spdp_Only                                 : aliased Boolean := False; 
  4004.       Publication_Writer_Latency_Budget                      : aliased LatencyBudgetQosPolicy; 
  4005.       Publication_Writer_Push_On_Write                       : aliased Boolean := True; 
  4006.       Subscription_Writer_Latency_Budget                     : aliased LatencyBudgetQosPolicy; 
  4007.       Subscription_Writer_Push_On_Write                      : aliased Boolean := False; 
  4008.       Participant_State_Writer                               : aliased RtpsReliableWriterProtocol_T; 
  4009.       Participant_State_Writer_Latency_Budget                : aliased LatencyBudgetQosPolicy; 
  4010.       Participant_State_Writer_Push_On_Write                 : aliased Boolean := False; 
  4011.       Participant_State_Writer_Publish_Mode                  : aliased PublishModeQosPolicy; 
  4012.       Participant_Proxy_Reader                               : aliased RtpsReliableReaderProtocol_T; 
  4013.       Participant_Proxy_Reader_Fragmentation_Resource_Limits : aliased DiscoveryBuiltinReaderFragmentationResourceLimits_T; 
  4014.    end record with Convention => C; 
  4015.    pragma Compile_Time_Error (DiscoveryConfigQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_DiscoveryConfigQosPolicy'Size, "DiscoveryConfigQosPolicy size missmatch."); 
  4016.  
  4017.    --  <dref>DiscoveryConfigQosPolicy</dref> 
  4018.    --  <dref name="participant_liveliness_lease_duration">DiscoveryConfigQosPolicy_participant_liveliness_lease_duration</dref> 
  4019.    --  <dref name="participant_liveliness_assert_period">DiscoveryConfigQosPolicy_participant_liveliness_assert_period</dref> 
  4020.    --  <dref name="remote_participant_purge_kind">DiscoveryConfigQosPolicy_remote_participant_purge_kind</dref> 
  4021.    --  <dref name="max_liveliness_loss_detection_period">DiscoveryConfigQosPolicy_max_liveliness_loss_detection_period</dref> 
  4022.    --  <dref name="initial_participant_announcements">DiscoveryConfigQosPolicy_initial_participant_announcements</dref> 
  4023.    --  <dref name="min_initial_participant_announcement_period">DiscoveryConfigQosPolicy_min_initial_participant_announcement_period</dref> 
  4024.    --  <dref name="max_initial_participant_announcement_period">DiscoveryConfigQosPolicy_max_initial_participant_announcement_period</dref> 
  4025.    --  <dref name="participant_reader_resource_limits">DiscoveryConfigQosPolicy_participant_reader_resource_limits</dref> 
  4026.    --  <dref name="publication_reader">DiscoveryConfigQosPolicy_publication_reader</dref> 
  4027.    --  <dref name="publication_reader_resource_limits">DiscoveryConfigQosPolicy_publication_reader_resource_limits</dref> 
  4028.    --  <dref name="subscription_reader">DiscoveryConfigQosPolicy_subscription_reader</dref> 
  4029.    --  <dref name="subscription_reader_resource_limits">DiscoveryConfigQosPolicy_subscription_reader_resource_limits</dref> 
  4030.    --  <dref name="publication_writer">DiscoveryConfigQosPolicy_publication_writer</dref> 
  4031.    --  <dref name="publication_writer_data_lifecycle">DiscoveryConfigQosPolicy_publication_writer_data_lifecycle</dref> 
  4032.    --  <dref name="subscription_writer">DiscoveryConfigQosPolicy_subscription_writer</dref> 
  4033.    --  <dref name="subscription_writer_data_lifecycle">DiscoveryConfigQosPolicy_subscription_writer_data_lifecycle</dref> 
  4034.    --  <dref internal="true" name="endpoint_plugin_redundancy_level">DiscoveryConfigQosPolicy_endpoint_plugin_redundancy_level</dref> 
  4035.    --  <dref name="Builtin_Discovery_Plugins">DiscoveryConfigQosPolicy_builtin_discovery_plugins</dref> 
  4036.    --  <dref name="Participant_Message_Reader_Reliability_Kind">DiscoveryConfigQosPolicy_participant_message_reader_reliability_kind</dref> 
  4037.    --  <dref name="participant_message_reader">DiscoveryConfigQosPolicy_participant_message_reader</dref> 
  4038.    --  <dref name="participant_message_writer">DiscoveryConfigQosPolicy_participant_message_writer</dref> 
  4039.    --  <dref name="Publication_Writer_Publish_Mode">DiscoveryConfigQosPolicy_publication_writer_publish_mode</dref> 
  4040.    --  <dref name="Subscription_Writer_Publish_Mode">DiscoveryConfigQosPolicy_subscription_writer_publish_mode</dref> 
  4041.    --  <dref name="Asynchronous_Publisher">DiscoveryConfigQosPolicy_asynchronous_publisher</dref> 
  4042.    --  <dref name="default_domain_announcement_period">DiscoveryConfigQosPolicy_default_domain_announcement_period</dref> 
  4043.    --  <dref name="ignore_default_domain_announcements">DiscoveryConfigQosPolicy_ignore_default_domain_announcements</dref> 
  4044.    --  <dref internal="true" name="Service_Request_Writer_Data_Lifecycle">DiscoveryConfigQosPolicy_Service_Request_Writer_Data_Lifecycle</dref> 
  4045.    --  <dref internal="true" name="Service_Request_Writer_Publish_Mode">DiscoveryConfigQosPolicy_Service_Request_Writer_Publish_Mode</dref> 
  4046.    --  <dref internal="true" name="Service_Request_Reader">DiscoveryConfigQosPolicy_Service_Request_Reader</dref> 
  4047.    --  <dref internal="true" name="Locator_Reachability_Assert_Period">DiscoveryConfigQosPolicy_Locator_Reachability_Assert_Period </dref> 
  4048.    --  <dref internal="true" name="Locator_Reachability_Lease_Duration">DiscoveryConfigQosPolicy_Locator_Reachability_Lease_Duration</dref> 
  4049.    --  <dref internal="true" name="Locator_Reachability_Change_Detection_Period">DiscoveryConfigQosPolicy_Locator_Reachability_Change_Detection_Period</dref> 
  4050.    --  <dref internal="true" name="Secure_Volatile_Writer">DiscoveryConfigQosPolicy_secure_volatile_writer</dref> 
  4051.    --  <dref internal="true" name="Secure_Volatile_Writer_Publish_Mode">DiscoveryConfigQosPolicy_secure_volatile_writer_publish_mode</dref> 
  4052.    --  <dref internal="true" name="Secure_Volatile_Reader">DiscoveryConfigQosPolicy_secure_volatile_reader</dref> 
  4053.    --  <dref internal="true" name="Endpoint_Type_Object_LB_Serialization_Threshold">DiscoveryConfigQosPolicy_Endpoint_Type_Object_LB_Serialization_Threshold</dref> 
  4054.    --  <dref internal="true" name="Dns_Tracker_Polling_Period">DiscoveryConfigQosPolicy_Dns_Tracker_Polling_Period</dref> 
  4055.    --  <dref internal="true" name="sedp_rely_on_spdp_only">DiscoveryConfigQosPolicy_publication_sedp_rely_on_spdp_only</dref> 
  4056.    --  <dref internal="true" name="publication_writer_latency_budget">DiscoveryConfigQosPolicy_publication_writer_latency_budget</dref> 
  4057.    --  <dref internal="true" name="publication_writer_push_on_write">DiscoveryConfigQosPolicy_publication_writer_push_on_write</dref> 
  4058.    --  <dref internal="true" name="subscription_writer_latency_budget">DiscoveryConfigQosPolicy_subscription_writer_latency_budget</dref> 
  4059.    --  <dref internal="true" name="subscription_writer_push_on_write">DiscoveryConfigQosPolicy_subscription_writer_push_on_write</dref> 
  4060.    --  <dref internal="true" name="participant_state_writer">DiscoveryConfigQosPolicy_participant_state_writer</dref> 
  4061.    --  <dref internal="true" name="participant_state_writer_latency_budget">DiscoveryConfigQosPolicy_participant_state_writer_latency_budget</dref> 
  4062.    --  <dref internal="true" name="participant_state_writer_push_on_write">DiscoveryConfigQosPolicy_participant_state_writer_push_on_write</dref> 
  4063.    --  <dref internal="true" name="participant_state_writer_publish_mode">DiscoveryConfigQosPolicy_participant_state_writer_publish_mode</dref> 
  4064.    --  <dref internal="true" name="participant_proxy_reader">DiscoveryConfigQosPolicy_participant_proxy_reader</dref> 
  4065.    --  <dref internal="true" name="participant_proxy_reader_fragmentation_resource_limits">DiscoveryConfigQosPolicy_participant_proxy_reader_fragmentation_resource_limits</dref> 
  4066.    --  <dref internal="true" name="plugin_promiscuity_kind">DiscoveryConfigQosPolicy_plugin_promiscuity_kind</dref> 
  4067.  
  4068.  
  4069.    DISCOVERY_CONFIG_QOS_POLICY_DEFAULT : constant DiscoveryConfigQosPolicy := (others => <>); 
  4070.    --  <dref internal="true"></dref> 
  4071.  
  4072.    --  ---------------------------------------------------------- 
  4073.    --                  USEROBJECT (eXtension QoS) 
  4074.    --  ---------------------------------------------------------- 
  4075.  
  4076.    USEROBJECT_QOS_POLICY_NAME    : constant DDS.String := To_DDS_String ("UserObject"); 
  4077.    --  <defgroup internal="true">UserObjectQosGroupDocs</defgroup> 
  4078.    --  <dref internal="true">USEROBJECT_QOS_POLICY_NAME</dref> 
  4079.  
  4080.    type UserObjectQosPolicy is record 
  4081.       Participant_User_Object            : aliased UserObjectSettings_T; 
  4082.       Topic_User_Object                  : aliased UserObjectSettings_T; 
  4083.       Content_Filtered_Topic_User_Object : aliased UserObjectSettings_T; 
  4084.       Publisher_User_Object              : aliased UserObjectSettings_T; 
  4085.       Data_Writer_User_Object            : aliased UserObjectSettings_T; 
  4086.       Subscriber_User_Object             : aliased UserObjectSettings_T; 
  4087.       Data_Reader_User_Object            : aliased UserObjectSettings_T; 
  4088.       Read_Condition_User_Object         : aliased UserObjectSettings_T; 
  4089.       Query_Condition_User_Object        : aliased UserObjectSettings_T; 
  4090.       Index_Condition_User_Object        : aliased UserObjectSettings_T; 
  4091.       Flow_Controller_User_Object        : aliased UserObjectSettings_T; 
  4092.    end record with Convention => C; 
  4093.    pragma Compile_Time_Error (UserObjectQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_UserObjectQosPolicy'Size, "UserObjectQosPolicy size missmatch."); 
  4094.  
  4095.    --  <dref internal="true">UserObjectQosPolicy</dref> 
  4096.    --  <dref internal="true" name="Participant_User_Object">UserObjectQosPolicy_participant_user_object</dref> 
  4097.    --  <dref internal="true" name="Topic_User_Object">UserObjectQosPolicy_topic_user_object</dref> 
  4098.    --  <dref internal="true" name="Content_Filtered_Topic_User_Object">UserObjectQosPolic_content_filtered_topic_user_object</dref> 
  4099.    --  <dref internal="true" name="Publisher_User_Object">UserObjectQosPolicy_publisher_user_object</dref> 
  4100.    --  <dref internal="true" name="Data_Writer_User_Object">UserObjectQosPolicy_data_writer_user_object</dref> 
  4101.    --  <dref internal="true" name="Subscriber_User_Object">UserObjectQosPolicy_subscriber_user_object</dref> 
  4102.    --  <dref internal="true" name="Data_Reader_User_Object">UserObjectQosPolicy_data_reader_user_object</dref> 
  4103.    --  <dref internal="true" name="Read_Condition_User_Object">UserObjectQosPolicy_read_condition_user_object</dref> 
  4104.    --  <dref internal="true" name="Query_Condition_User_Object">UserObjectQosPolicy_query_condition_user_object</dref> 
  4105.    --  <dref internal="true" name="Index_Condition_User_Object">UserObjectQosPolicy_index_condition_user_object</dref> 
  4106.    --  <dref internal="true" name="Flow_Controller_User_Object">UserObjectQosPolicy_flow_controller_user_object</dref> 
  4107.  
  4108.    USER_OBJECT_QOS_POLICY_DEFAULT : constant UserObjectQosPolicy := (others => <>); 
  4109.    --  <dref internal="true"></dref> 
  4110.  
  4111.    --  ---------------------------------------------------------- 
  4112.    --                  EXCLUSIVEAREA (eXtension QoS) 
  4113.    --  ---------------------------------------------------------- 
  4114.  
  4115.    EXCLUSIVEAREA_QOS_POLICY_NAME  : constant DDS.String := To_DDS_String ("ExclusiveArea"); 
  4116.    --  <defgroup internal="true">ExclusiveAreaQosGroupDocs</defgroup> 
  4117.    --  <dref internal="True">EXCLUSIVEAREA_QOS_POLICY_NAME</dref> 
  4118.  
  4119.    type ExclusiveAreaQosPolicy is record 
  4120.       Use_Shared_Exclusive_Area : aliased DDS.Boolean; 
  4121.       Level                     : aliased DDS.Long; 
  4122.    end record with Convention => C; 
  4123.    pragma Compile_Time_Error (ExclusiveAreaQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_ExclusiveAreaQosPolicy'Size, "ExclusiveAreaQosPolicy size missmatch."); 
  4124.  
  4125.    --  <dref>ExclusiveAreaQosPolicy</dref> 
  4126.    --  <dref name="User_Shared_Exclusive_Area">ExclusiveAreaQosPolicy_use_shared_exclusive_area</dref> 
  4127.    --  <dref internal="true" name="Level">ExclusiveAreaQosPolicy_level</dref> 
  4128.  
  4129.    EXCLUSIVE_AREA_AUTO_LEVEL     : constant DDS.Long := -1; 
  4130.    --  <dref internal="true">ExclusiveAreaQosPolicy_AUTO_LEVEL</dref> 
  4131.  
  4132.    EXCLUSIVE_AREA_QOS_POLICY_DEFAULT : constant ExclusiveAreaQosPolicy := 
  4133.                                          (Use_Shared_Exclusive_Area => False, 
  4134.                                           Level                     => EXCLUSIVE_AREA_AUTO_LEVEL); 
  4135.    --  <dref internal="true"></dref> 
  4136.  
  4137.    --  ---------------------------------------------------------- 
  4138.    --                  DATAWRITERTRANSFERMODE 
  4139.    --  ---------------------------------------------------------- 
  4140.  
  4141.    DATAWRITERTRANSFERMODE_QOS_POLICY_NAME : constant DDS.String := To_DDS_String ("DataWriterTransferMode"); 
  4142.    --  <defgroup>DataWriterTransferModeQosGroupDocs</defgroup> 
  4143.    --  <dref>DATAWRITERTRANSFERMODE_QOS_POLICY_NAME</dref> 
  4144.  
  4145.    type DataWriterShmemRefTransferModeSettings is record 
  4146.       Enable_Data_Consistency_Check : aliased DDS.Boolean; 
  4147.    end record with Convention => C; 
  4148.    pragma Compile_Time_Error (DataWriterShmemRefTransferModeSettings'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_DataWriterShmemRefTransferModeSettings'Size, "DataWriterShmemRefTransferModeSettings size missmatch."); 
  4149.  
  4150.    --  <dref>DataWriterShmemRefTransferModeSettings</dref> 
  4151.    --  <dref name="Enable_Data_Consistency_Check">DataWriterShmemRefTransferModeSettings_enable_data_consistency_check</dref> 
  4152.  
  4153.    DataWriterShmemRefTransferModeSettings_INITIALIZER : constant DataWriterShmemRefTransferModeSettings := 
  4154.                                                           (Enable_Data_Consistency_Check => True); 
  4155.  
  4156.    type DataWriterShmemRefTransferModeSettings_Access is access all DataWriterShmemRefTransferModeSettings; 
  4157.  
  4158.    function DataWriterShmemRefTransferModeSettings_Equals 
  4159.      (Self  : in DataWriterShmemRefTransferModeSettings_Access; 
  4160.       Other : in DataWriterShmemRefTransferModeSettings_Access) 
  4161.        return DDS.Boolean; 
  4162.    --  <dref internal="true">DataWriterShmemRefTransferModeSettings_Equals</dref> 
  4163.  
  4164.    pragma Warnings (Off, DataWriterShmemRefTransferModeSettings_Equals); 
  4165.    pragma Import (C, DataWriterShmemRefTransferModeSettings_Equals, "DDS_DataWriterShmemRefTransferModeSettings_equals"); 
  4166.  
  4167.    type DataWriterTransferModeQosPolicy is record 
  4168.       Shmem_Ref_Settings : aliased DataWriterShmemRefTransferModeSettings; 
  4169.    end record with Convention => C; 
  4170.    pragma Compile_Time_Error (DataWriterTransferModeQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_DataWriterTransferModeQosPolicy'Size, "DataWriterTransferModeQosPolicy size missmatch."); 
  4171.  
  4172.    --  <dref>DataWriterTransferModeQosPolicy</dref> 
  4173.    --  <dref name="Shmem_Ref_Settings">DataWriterTransferModeQosPolicy_shmem_ref_settings</dref> 
  4174.  
  4175.    DataWriterTransferModeQosPolicy_INITIALIZER : constant DataWriterTransferModeQosPolicy := 
  4176.                                                    (Shmem_Ref_Settings => DataWriterShmemRefTransferModeSettings_INITIALIZER); 
  4177.  
  4178.    type DataWriterTransferModeQosPolicy_Access is access all DataWriterTransferModeQosPolicy; 
  4179.  
  4180.    function DataWriterTransferModeQosPolicy_Equals 
  4181.      (Self  : in DataWriterTransferModeQosPolicy_Access; 
  4182.       Other : in DataWriterTransferModeQosPolicy_Access) 
  4183.        return DDS.Boolean; 
  4184.    --  <dref internal="true">DataWriterTransferModeQosPolicy_equals</dref> 
  4185.  
  4186.    pragma Warnings (Off, DataWriterTransferModeQosPolicy_Equals); 
  4187.    pragma Import (C, DataWriterTransferModeQosPolicy_Equals, "DDS_DataWriterTransferModeQosPolicy_equals"); 
  4188.  
  4189.    --  ---------------------------------------------------------- 
  4190.    --                  TOPICQUERYDISPATCH (eXtension QoS) 
  4191.    --  ---------------------------------------------------------- 
  4192.  
  4193.    TOPIC_QUERY_DISPATCH_QOS_POLICY_NAME : constant DDS.String := To_DDS_String ("TopicQueryDispatch"); 
  4194.    --  <defgroup>TopicQueryDispatchQosGroupDocs</defgroup> 
  4195.    --  <dref>TOPICQUERYDISPATCH_QOS_POLICY_NAME</dref> 
  4196.  
  4197.    type TopicQueryDispatchQosPolicy is record 
  4198.       Enable                      : aliased DDS.Boolean := False; 
  4199.       Publication_Period          : aliased Duration_T := (16#0000_0001#, 16#0000_0000#); 
  4200.       Samples_Per_Period          : aliased DDS.Long := -1; 
  4201.    end record with Convention => C; 
  4202.    pragma Compile_Time_Error (TopicQueryDispatchQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_TopicQueryDispatchQosPolicy'Size, "TopicQueryDispatchQosPolicy size missmatch."); 
  4203.  
  4204.    --  <dref>TopicQueryDispatchQosPolicy</dref> 
  4205.    --  <dref name="Publication_Period">TopicQueryDispatchQosPolicy_publication_period</dref> 
  4206.    --  <dref name="Samples_Per_Period">TopicQueryDispatchQosPolicy_samples_per_period</dref> 
  4207.  
  4208.    TOPIC_QUERY_DISPATCH_QOS_POLICY_DEFAULT : constant TopicQueryDispatchQosPolicy := (others => <>); 
  4209.    --  <dref internal="true"></dref> 
  4210.  
  4211.    --  ---------------------------------------------------------- 
  4212.    --                  BATCH (eXtension QoS) 
  4213.    --  ---------------------------------------------------------- 
  4214.  
  4215.    BATCH_QOS_POLICY_NAME         : constant DDS.String := To_DDS_String ("Batch"); 
  4216.    --  <defgroup>BatchQosGroupDocs</defgroup> 
  4217.    --  <dref>BATCH_QOS_POLICY_NAME</dref> 
  4218.  
  4219.    type BatchQosPolicy is record 
  4220.       Enable                      : aliased DDS.Boolean := False; 
  4221.       Max_Data_Bytes              : aliased DDS.Long := 1024; 
  4222.       Max_Meta_Data_Bytes         : aliased DDS.Long := LENGTH_UNLIMITED; 
  4223.       Max_Samples                 : aliased DDS.Long := LENGTH_UNLIMITED; 
  4224.       Max_Flush_Delay             : aliased Duration_T := DURATION_INFINITE; 
  4225.       Source_Timestamp_Resolution : aliased Duration_T := DURATION_INFINITE; 
  4226.       Thread_Safe_Write           : aliased DDS.Boolean := True; 
  4227.    end record with Convention => C; 
  4228.    pragma Compile_Time_Error (BatchQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_BatchQosPolicy'Size, "BatchQosPolicy size missmatch."); 
  4229.  
  4230.    --  <dref>BatchQosPolicy</dref> 
  4231.    --  <dref name="enable">BatchQosPolicy_enable</dref> 
  4232.    --  <dref name="max_data_bytes">BatchQosPolicy_max_data_bytes</dref> 
  4233.    --  <dref internal="true" name="max_meta_data_bytes">BatchQosPolicy_max_meta_data_bytes</dref> 
  4234.    --  <dref name="max_samples">BatchQosPolicy_max_samples</dref> 
  4235.    --  <dref name="max_flush_delay">BatchQosPolicy_max_flush_delay</dref> 
  4236.    --  <dref name="source_timestamp_resolution">BatchQosPolicy_source_timestamp_resolution</dref> 
  4237.    --  <dref name="thread_safe_write">BatchQosPolicy_thread_safe_write</dref> 
  4238.  
  4239.    BATCH_QOS_POLICY_DEFAULT      : constant BatchQosPolicy := (others => <>); 
  4240.    --  <dref internal="true"></dref> 
  4241.  
  4242.    --  ---------------------------------------------------------- 
  4243.    --       TYPE_CONSISTENCY_ENFORCEMENT (XTYPES) 
  4244.    --  ---------------------------------------------------------- 
  4245.  
  4246.    TYPE_CONSISTENCY_ENFORCEMENT_QOS_POLICY_NAME : constant DDS.String := To_DDS_String ("TypeConsistencyEnforcement"); 
  4247.    --  <defgroup>TypeConsistencyEnforcementQosGroupDocs</defgroup> 
  4248.    --  <dref>TYPE_CONSISTENCY_ENFORCEMENT_QOS_POLICY_NAME</dref> 
  4249.  
  4250.    type TypeConsistencyKind is new Unsigned_Long; 
  4251.    --  <dref>TypeConsistencyKind</dref> 
  4252.  
  4253.    DISALLOW_TYPE_COERCION        : constant TypeConsistencyKind := 0; 
  4254.    --  <dref>TypeConsistencyKind_DISALLOW_TYPE_COERCION</dref> 
  4255.  
  4256.    ALLOW_TYPE_COERCION           : constant TypeConsistencyKind := 1; 
  4257.    --  <dref>TypeConsistencyKind_ALLOW_TYPE_COERCION</dref> 
  4258.  
  4259.    AUTO_TYPE_COERCION            : constant TypeConsistencyKind := 2; 
  4260.    --  <dref>TypeConsistencyKind_AUTO_TYPE_COERCION</dref> 
  4261.  
  4262.    type TypeConsistencyEnforcementQosPolicy is record 
  4263.       Kind                      : aliased TypeConsistencyKind := ALLOW_TYPE_COERCION; 
  4264.       Ignore_Sequence_Bounds    : aliased Boolean := False; 
  4265.       Ignore_String_Bounds      : aliased Boolean := False; 
  4266.       Ignore_Member_Names       : aliased Boolean := False; 
  4267.       Prevent_Type_Widening     : aliased Boolean := False; 
  4268.       Force_Type_Validation     : aliased Boolean := False; 
  4269.       Ignore_Enum_Literal_Names : aliased Boolean := False; 
  4270.    end record with Convention => C; 
  4271.    pragma Compile_Time_Error (TypeConsistencyEnforcementQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_TypeConsistencyEnforcementQosPolicy'Size, "TypeConsistencyEnforcementQosPolicy size missmatch."); 
  4272.  
  4273.    --  <dref>TypeConsistencyEnforcementQosPolicy</dref> 
  4274.    --  <dref name="kind">TypeConsistencyEnforcementQosPolicy_kind</dref> 
  4275.    --  <dref name="Ignore_Sequence_Bounds">TypeConsistencyEnforcementQosPolicy_ignore_sequence_bounds</dref> 
  4276.    --  <dref name="Ignore_String_Bounds">TypeConsistencyEnforcementQosPolicy_ignore_string_bounds</dref> 
  4277.    --  <dref name="Ignore_Member_Names">TypeConsistencyEnforcementQosPolicy_ignore_member_names</dref> 
  4278.    --  <dref name="Prevent_Type_Widening">TypeConsistencyEnforcementQosPolicy_prevent_type_widening</dref> 
  4279.    --  <dref name="Force_Type_Validation">TypeConsistencyEnforcementQosPolicy_force_type_validation</dref> 
  4280.    --  <dref name="Ignore_Enum_Literal_Names">TypeConsistencyEnforcementQosPolicy_ignore_enum_literal_names</dref> 
  4281.  
  4282.    TYPE_CONSISTENCY_ENFORCEMENT_QOS_POLICY_DEFAULT : constant TypeConsistencyEnforcementQosPolicy := (others => <>); 
  4283.    --  <dref internal="true"></dref> 
  4284.  
  4285.    --  ---------------------------------------------------------- 
  4286.    --                  LOCATORFILTER (eXtension QoS) 
  4287.    --  ---------------------------------------------------------- 
  4288.  
  4289.    type LocatorFilter_T is record 
  4290.       Locators          : aliased Locator_Seq.Sequence; 
  4291.       Filter_Expression : DDS.String; 
  4292.    end record with Convention => C; 
  4293.    --  <defgroup>LocatorFilterQosGroupDocs</defgroup> 
  4294.    --  <dref>LocatorFilter_t</dref> 
  4295.    --  <dref name="locators">LocatorFilter_t_locators</dref> 
  4296.    --  <dref name="filter_expression">LocatorFilter_t_filter_expression</dref> 
  4297.  
  4298.  
  4299.    type LocatorFilter_T_Access is access all LocatorFilter_T; 
  4300.    type LocatorFilter_T_Array is array 
  4301.      (Natural range <>) of aliased LocatorFilter_T; 
  4302.    procedure Initialize (Self : in out LocatorFilter_T); 
  4303.    procedure Finalize (Self : in out LocatorFilter_T); 
  4304.    procedure Copy (Dst : in out LocatorFilter_T; 
  4305.                    Src : in LocatorFilter_T); 
  4306.    package LocatorFilter_Seq is new DDS_Support.Sequences_Generic 
  4307.      (LocatorFilter_T, 
  4308.       LocatorFilter_T_Access, 
  4309.       DDS.Natural, 
  4310.       1, 
  4311.       LocatorFilter_T_Array); 
  4312.    --  <dref>LocatorFilterSeq</dref> 
  4313.  
  4314.    LOCATORFILTER_QOS_POLICY_NAME : constant DDS.String := To_DDS_String ("LocatorFilter"); 
  4315.    --  <dref>LOCATORFILTER_QOS_POLICY_NAME</dref> 
  4316.  
  4317.    type LocatorFilterQosPolicy is record 
  4318.       Locator_Filters : aliased DDS.LocatorFilter_Seq.Sequence; 
  4319.       Filter_Name     : aliased DDS.String; 
  4320.    end record with Convention => C; 
  4321.    pragma Compile_Time_Error (LocatorFilterQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_LocatorFilterQosPolicy'Size, "LocatorFilterQosPolicy size missmatch."); 
  4322.  
  4323.    --  <dref>LocatorFilterQosPolicy</dref> 
  4324.    --  <dref name="locator_filters">LocatorFilterQosPolicy_locator_filters</dref> 
  4325.    --  <dref name="filer_name">LocatorFilterQosPolicy_filter_name</dref> 
  4326.  
  4327.    LOCATOR_FILTER_QOS_POLICY_DEFAULT : constant LocatorFilterQosPolicy := (others => <>); 
  4328.  
  4329.    --  ---------------------------------------------------------- 
  4330.    --                  MULTICHANNEL (eXtension QoS) 
  4331.    --  ---------------------------------------------------------- 
  4332.  
  4333.    type ChannelSettings_T is record 
  4334.       Multicast_Settings : aliased TransportMulticastSettings_Seq.Sequence; 
  4335.       Filter_Expression  : DDS.String; 
  4336.       Priority           : DDS.Long; 
  4337.    end record with Convention => C; 
  4338.    --  <defgroup>MultiChannelQosGroupDocs</defgroup> 
  4339.    --  <dref>ChannelSettings_t</dref> 
  4340.    --  <dref name="multicast_settings">ChannelSettings_t_multicast_settings</dref> 
  4341.    --  <dref name="filter_expression">ChannelSettings_t_filter_expression</dref> 
  4342.    --  <dref name="priority">ChannelSettings_t_priority</dref> 
  4343.  
  4344.    type ChannelSettings_T_Access is access all ChannelSettings_T; 
  4345.    type ChannelSettings_T_Array is array 
  4346.      (Natural range <>) of aliased ChannelSettings_T; 
  4347.    procedure Initialize (Self : in out ChannelSettings_T); 
  4348.    procedure Finalize (Self : in out ChannelSettings_T); 
  4349.    procedure Copy (Dst : in out ChannelSettings_T; 
  4350.                    Src : in ChannelSettings_T); 
  4351.  
  4352.    package ChannelSettings_Seq is new DDS_Support.Sequences_Generic 
  4353.      (ChannelSettings_T, 
  4354.       ChannelSettings_T_Access, 
  4355.       DDS.Natural, 
  4356.       1, 
  4357.       ChannelSettings_T_Array); 
  4358.    --  <dref>ChannelSettingsSeq</dref> 
  4359.  
  4360.    MULTICHANNEL_QOS_POLICY_NAME : constant DDS.String := To_DDS_String ("MultiChannel"); 
  4361.    --  <dref>MULTICHANNEL_QOS_POLICY_NAME</dref> 
  4362.  
  4363.    type MultiChannelQosPolicy is record 
  4364.       Channels    : aliased DDS.ChannelSettings_Seq.Sequence; 
  4365.       Filter_Name : aliased DDS.String; 
  4366.    end record with Convention => C; 
  4367.    pragma Compile_Time_Error (MultiChannelQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_MultiChannelQosPolicy'Size, "MultiChannelQosPolicy size missmatch."); 
  4368.  
  4369.    --  <dref>MultiChannelQosPolicy</dref> 
  4370.    --  <dref name="channels">MultiChannelQosPolicy_channels</dref> 
  4371.    --  <dref name="filter_name">MultiChannelQosPolicy_filter_name</dref> 
  4372.  
  4373.  
  4374.    --     MULTICHANNEL_QOS_POLICY_DEFAULT : constant MultiChannelQosPolicy := 
  4375.    --       ( 
  4376.    --        channels    => DDS.ChannelSettings_Seq.DEFAULT_SEQUENCE, 
  4377.    --        filter_name => DDS.NULL_STRING 
  4378.    --       ); 
  4379.  
  4380.    --  ---------------------------------------------------------- 
  4381.    --                  PROPERTY (eXtension QoS) 
  4382.    --  ---------------------------------------------------------- 
  4383.  
  4384.    type Property_T is record 
  4385.       Name      : aliased DDS.String; 
  4386.       Value     : aliased DDS.String; 
  4387.       Propagate : aliased DDS.Boolean := False; 
  4388.    end record with Convention => C; 
  4389.    --  <defgroup>PropertyQosGroupDocs</defgroup> 
  4390.    --  <dref>Property_t</dref> 
  4391.    --  <dref name="Name">Property_t_name</dref> 
  4392.    --  <dref name="Value">Property_t_value</dref> 
  4393.    --  <dref name="Propagate">Property_t_propagate</dref> 
  4394.  
  4395.    type Property_T_Access is access all Property_T; 
  4396.  
  4397.    type Property_T_Array is array (Natural range <>) of aliased Property_T; 
  4398.    procedure Initialize (Self  : in out Property_T); 
  4399.    procedure Finalize (Self  : in out Property_T); 
  4400.    procedure Copy (Dst : in out Property_T; Src : in Property_T); 
  4401.  
  4402.    package Property_T_Seq is new DDS_Support.Sequences_Generic 
  4403.      (Property_T, 
  4404.       Property_T_Access, 
  4405.       DDS.Natural, 
  4406.       1, 
  4407.       Property_T_Array); 
  4408.    --  <dref>PropertySeq</dref> 
  4409.  
  4410.    type Property_T_Seq_Access is access all Property_T_Seq.Sequence; 
  4411.  
  4412.    PROPERTY_QOS_POLICY_NAME : constant DDS.String := To_DDS_String ("Property"); 
  4413.    --  <dref>PROPERTY_QOS_POLICY_NAME</dref> 
  4414.  
  4415.    type PropertyQosPolicy is record 
  4416.       Value :  aliased Property_T_Seq.Sequence; 
  4417.    end record with Convention => C; 
  4418.    pragma Compile_Time_Error (PropertyQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_PropertyQosPolicy'Size, "PropertyQosPolicy size missmatch."); 
  4419.  
  4420.    --  <dref>PropertyQosPolicy</dref> 
  4421.    --  <dref name="Value">PropertyQosPolicy_value</dref> 
  4422.  
  4423.    type PropertyQosPolicy_Access is access all PropertyQosPolicy; 
  4424.  
  4425.    PROPERTY_QOS_POLICY_DEFAULT : constant PropertyQosPolicy := 
  4426.                                    (Value => Property_T_Seq.DEFAULT_SEQUENCE); 
  4427.  
  4428.  
  4429.  
  4430.    function Contains (P    : PropertyQosPolicy; 
  4431.                       Name : Standard.String) return Boolean; 
  4432.    function Contains (P    : PropertyQosPolicy; 
  4433.                       Name : DDS.String) return Boolean; 
  4434.  
  4435.    function Length (P : PropertyQosPolicy) return Natural; 
  4436.  
  4437.    procedure Append (P         : in out PropertyQosPolicy; 
  4438.                      Name      : Standard.String; 
  4439.                      Value     : Standard.String; 
  4440.                      Propagate : Boolean := False); 
  4441.    procedure Append (P         : in out PropertyQosPolicy; 
  4442.                      Name      : DDS.String; 
  4443.                      Value     : DDS.String; 
  4444.                      Propagate : Boolean := False); 
  4445.  
  4446.    procedure Delete (P : in out PropertyQosPolicy; Name : Standard.String); 
  4447.    procedure Delete (P : in out PropertyQosPolicy; Name : DDS.String); 
  4448.  
  4449.    function Get (P    : PropertyQosPolicy; 
  4450.                  Name : Standard.String) return Standard.String; 
  4451.    function Get (P    : PropertyQosPolicy; 
  4452.                  Name : DDS.String) return DDS.String; 
  4453.  
  4454.    procedure Add_Property (Policy    : in PropertyQosPolicy_Access; 
  4455.                            Name      : in Standard.String; 
  4456.                            Value     : in Standard.String; 
  4457.                            Propagate : in DDS.Boolean); 
  4458.    --  <dref>PropertyQosPolicyHelper_add_property</dref> 
  4459.  
  4460.    procedure Remove_Property (Policy    : in PropertyQosPolicy_Access; 
  4461.                               Name      : in Standard.String); 
  4462.    --  <dref>PropertyQosPolicyHelper_remove_property</dref> 
  4463.  
  4464.    --  ---------------------------------------------------------- 
  4465.    --                  WaitSetProperty_t 
  4466.    --  ---------------------------------------------------------- 
  4467.  
  4468.    type WaitSetProperty_T is record 
  4469.       Max_Event_Count : aliased Long := 0; 
  4470.       Max_Event_Delay : aliased Duration_T; 
  4471.    end record with Convention => C; 
  4472.    --  <dref>WaitSetProperty_t</dref> 
  4473.    --  <dref name="max_event_count">WaitSetProperty_t_max_event_count</dref> 
  4474.    --  <dref name="max_event_delay">WaitSetProperty_t_max_event_delay</dref> 
  4475.  
  4476.  
  4477.    --  ---------------------------------------------------------- 
  4478.    --                  EndpointGroup_t 
  4479.    --  ---------------------------------------------------------- 
  4480.  
  4481.    type EndpointGroup_T is record 
  4482.       Role_Name    : aliased DDS.String; 
  4483.       Quorum_Count : aliased Integer := 0; 
  4484.    end record with Convention => C; 
  4485.    --  <dref>EndpointGroup_t</dref> 
  4486.    --  <dref name="role_name">EndpointGroup_t_role_name</dref> 
  4487.    --  <dref name="quorum_count">EndpointGroup_t_quorum_count</dref> 
  4488.  
  4489.    type EndpointGroup_T_Access is access all EndpointGroup_T; 
  4490.  
  4491.    type EndpointGroup_T_Array is array (Natural range <>) of aliased EndpointGroup_T; 
  4492.  
  4493.    pragma Convention (C, EndpointGroup_T_Array); 
  4494.  
  4495.    procedure Initialize (Self  : in out EndpointGroup_T); 
  4496.    procedure Finalize (Self  : in out EndpointGroup_T); 
  4497.    procedure Copy (Dst : in out EndpointGroup_T; Src : in EndpointGroup_T); 
  4498.  
  4499.    package EndpointGroup_T_Seq is new DDS_Support.Sequences_Generic 
  4500.      (Element        => EndpointGroup_T, 
  4501.       Element_Access => EndpointGroup_T_Access, 
  4502.       Index_Type     => Natural, 
  4503.       First_Element  => 1, 
  4504.       Element_Array  => EndpointGroup_T_Array); 
  4505.    --  <dref>EndpointGroupSeq</dref> 
  4506.  
  4507.    type EndpointGroup_T_Seq_Access is access all EndpointGroup_T_Seq.Sequence; 
  4508.  
  4509.    --  ---------------------------------------------------------- 
  4510.    --                  AvailabilityQosPolicy 
  4511.    --  ---------------------------------------------------------- 
  4512.  
  4513.    type AvailabilityQosPolicy is record 
  4514.       Enable_Required_Subscriptions          : aliased Boolean := False; 
  4515.       Max_Data_Availability_Waiting_Time     : aliased Duration_T := (1, 0); 
  4516.       Max_Endpoint_Availability_Waiting_Time : aliased Duration_T := (1, 0); 
  4517.       Required_Matched_Endpoint_Groups       : aliased EndpointGroup_T_Seq.Sequence; 
  4518.    end record with Convention => C; 
  4519.    pragma Compile_Time_Error (AvailabilityQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_AvailabilityQosPolicy'Size, "AvailabilityQosPolicy size missmatch."); 
  4520.  
  4521.    --  <defgroup>AvailabilityQosGroupDocs</defgroup> 
  4522.    --  <dref >AvailabilityQosPolicy</dref> 
  4523.    --  <dref name="enable_required_subscriptions">AvailabilityQosPolicy_enable_required_subscriptions</dref> 
  4524.    --  <dref name="max_data_availability_waiting_time">AvailabilityQosPolicy_max_data_availability_waiting_time</dref> 
  4525.    --  <dref name="max_endpoint_availability_waiting_time">AvailabilityQosPolicy_max_endpoint_availability_waiting_time</dref> 
  4526.    --  <dref name="required_matched_endpoint_groups">AvailabilityQosPolicy_required_matched_endpoint_groups</dref> 
  4527.  
  4528.    AVAILABILITY_QOS_POLICY_NAME : constant DDS.String := To_DDS_String ("Availability"); 
  4529.    --  <dref>AVAILABILITY_QOS_POLICY_NAME</dref> 
  4530.  
  4531.    --  #define DDS_AVAILABILITY_QOS_POLICY_DEFAULT { 
  4532.    --  { 0xffffffffL, 0UL }, /* max_data_availability_waiting_time */\ 
  4533.    --  { 0xffffffffL, 0UL }, /* max_endpoint_availability_waiting_time */ \ 
  4534.    --  EndpointGroup_T_Seq.Sequence.DEFAULT_SEQUENCE /* required_matched_endpoint_groups */ \ 
  4535.  
  4536.    --  package QosPolicyCount_Seq is new DDS_Support.Sequences_Generic 
  4537.    --  (QosPolicyCount, 
  4538.    --   QosPolicyCount_Access, 
  4539.    --   DDS.Natural, 
  4540.    --   1, 
  4541.    --   QosPolicyCount_Array); 
  4542.    --  <dref>QosPolicyCountSeq</dref> 
  4543.  
  4544.    --  ---------------------------------------------------------- 
  4545.    --                  ContentFilterProperty_t 
  4546.    --  ---------------------------------------------------------- 
  4547.  
  4548.    type ContentFilterProperty_T is record 
  4549.       Content_Filter_Topic_Name : aliased DDS.String; 
  4550.       Related_Topic_Name        : aliased DDS.String; 
  4551.       Filter_Class_Name         : aliased DDS.String; 
  4552.       Filter_Expression         : aliased DDS.String; 
  4553.       Expression_Parameters     : aliased DDS.String_Seq.Sequence; 
  4554.    end record with Convention => C; 
  4555.    --  <dref>ContentFilterProperty_t</dref> 
  4556.    --  <dref name="Content_Filter_Topic_Name">ContentFilterProperty_t_content_filter_topic_name</dref> 
  4557.    --  <dref name="Related_Topic_Name">ContentFilterProperty_t_related_topic_name</dref> 
  4558.    --  <dref name="Filter_Class_Name">ContentFilterProperty_t_filter_class_name</dref> 
  4559.    --  <dref name="Filter_Expression">ContentFilterProperty_t_filter_expression</dref> 
  4560.    --  <dref name="Expression_Parameters">ContentFilterProperty_t_expression_parameters</dref> 
  4561.  
  4562.    CONTENT_FILTER_PROPERTY_DEFAULT : constant ContentFilterProperty_T := (others => <>); 
  4563.  
  4564.    --  ---------------------------------------------------------- 
  4565.    --                  ENTITY_NAME (eXtension QoS) 
  4566.    --  ---------------------------------------------------------- 
  4567.  
  4568.    ENTITYNAME_QOS_POLICY_NAME : constant DDS.String := To_DDS_String ("EntityName"); 
  4569.    --  <defgroup>EntityNameQosGroupDocs</defgroup> 
  4570.    --  <dref>ENTITYNAME_QOS_POLICY_NAME</dref> 
  4571.  
  4572.    type EntityNameQosPolicy is record 
  4573.       Name      : aliased DDS.String; 
  4574.       Role_Name : aliased DDS.String; 
  4575.    end record with Convention => C; 
  4576.    pragma Compile_Time_Error (EntityNameQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_EntityNameQosPolicy'Size, "EntityNameQosPolicy size missmatch."); 
  4577.  
  4578.    --  <dref>EntityNameQosPolicy</dref> 
  4579.    --  <dref name="Name">EntityNameQosPolicy_name</dref> 
  4580.    --  <dref name="Role_Name">EntityNameQosPolicy_role_name</dref> 
  4581.  
  4582.    ENTITY_NAME_QOS_POLICY_DEFAULT : constant EntityNameQosPolicy := 
  4583.                                       (Name      => (Data => DDS.NULL_STRING.Data), 
  4584.                                        Role_Name => (Data => DDS.NULL_STRING.Data)); 
  4585.    --  <dref internal="true"></dref> 
  4586.  
  4587.    --  ---------------------------------------------------------- 
  4588.    --                  LoggingQosPolicy 
  4589.    --  ---------------------------------------------------------- 
  4590.  
  4591.    LOGGING_QOS_POLICY_NAME        : constant DDS.String := To_DDS_String ("Logging"); 
  4592.    --  <defgroup>LoggingQosGroupDocs</defgroup> 
  4593.    --  <dref>LOGGING_QOS_POLICY_NAME</dref> 
  4594.  
  4595.    --  ---------------------------------------------------------- 
  4596.    --                  PROFILE (eXtension QoS) 
  4597.    --  ---------------------------------------------------------- 
  4598.  
  4599.    PROFILE_QOS_POLICY_NAME  : constant DDS.String := To_DDS_String ("Profile"); 
  4600.    --  <defgroup>ProfileQosGroupDocs</defgroup> 
  4601.    --  <dref>PROFILE_QOS_POLICY_NAME</dref> 
  4602.  
  4603.    type ProfileQosPolicy is record 
  4604.       String_Profile                  : aliased String_Seq.Sequence; 
  4605.       Url_Profile                     : aliased String_Seq.Sequence; 
  4606.       Ignore_User_Profile             : aliased Boolean := False; 
  4607.       Ignore_Environment_Profile      : aliased Boolean := False; 
  4608.       Ignore_Resource_Profile         : aliased Boolean := False; 
  4609.       String_Profile_Dtd              : aliased String_Seq.Sequence; 
  4610.       Ignore_Is_Default_Qos_Attribute :  aliased Boolean := False; 
  4611.    end record with Convention => C; 
  4612.    pragma Compile_Time_Error (ProfileQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_ProfileQosPolicy'Size, "ProfileQosPolicy size missmatch."); 
  4613.  
  4614.    --  <dref>ProfileQosPolicy</dref> 
  4615.    --  <dref name="String_Profile">ProfileQosPolicy_string_profile</dref> 
  4616.    --  <dref name="Url_Profile">ProfileQosPolicy_url_profile</dref> 
  4617.    --  <dref name="Ignore_User_Profile">ProfileQosPolicy_ignore_user_profile</dref> 
  4618.    --  <dref name="Ignore_Envionrment_Profile">ProfileQosPolicy_ignore_environment_profile</dref> 
  4619.    --  <dref name="Ignore_Resource_Profile">ProfileQosPolicy_ignore_resource_profile</dref> 
  4620.    --  <dref internal="true" name="String_Profile_Dtd">ProfileQosPolicy_string_profile_dtd</dref> 
  4621.  
  4622.  
  4623.    --  ---------------------------------------------------------- 
  4624.    --                  SAMPLEIDENTITY 
  4625.    --  ---------------------------------------------------------- 
  4626.  
  4627.    type SampleIdentity_T is record 
  4628.       Writer_Guid     : aliased Guid_T; 
  4629.       Sequence_Number : aliased DDS.SequenceNumber_T; 
  4630.    end record with 
  4631.      Convention => C; 
  4632.    --  <defgroup>WriteParamsGroupDocs</defgroup> 
  4633.    --  <dref>SampleIdentity_t</dref> 
  4634.    --  <dref name="Writer_Guid">SampleIdentity_t_writer_guid</dref> 
  4635.    --  <dref name="Sequence_Number">SampleIdentity_t_sequence_number</dref> 
  4636.  
  4637.    AUTO_SAMPLE_IDENTITY           : constant SampleIdentity_T := 
  4638.                                       (Writer_Guid     => GUID_AUTO, 
  4639.                                        Sequence_Number => SEQUENCE_NUMBER_UNKNOWN); 
  4640.    --  <dref>SampleIdentity_t_AUTO_SAMPLE_IDENTITY</dref> 
  4641.  
  4642.  
  4643.    UNKNOWN_SAMPLE_IDENTITY        : constant SampleIdentity_T := 
  4644.                                       (Writer_Guid     => GUID_AUTO, 
  4645.                                        Sequence_Number => SEQUENCE_NUMBER_UNKNOWN); 
  4646.    --  <dref>SampleIdentity_t_UNKNOWN_SAMPLE_IDENTITY</dref> 
  4647.    NULL_SAMPLE_IDENTITY : constant SampleIdentity_T := 
  4648.                             (Writer_Guid     => GUID_UNKNOWN, 
  4649.                              Sequence_Number => SEQUENCE_NUMBER_ZERO); 
  4650.    --  ---------------------------------------------------------- 
  4651.    --                  Cookie_t 
  4652.    --  ---------------------------------------------------------- 
  4653.  
  4654.    type Cookie_T is record 
  4655.       Value : aliased Octet_Seq.Sequence; 
  4656.    end record with Convention => C; 
  4657.    --  <defgroup>CookieGroupDocs</defgroup> 
  4658.    --  <dref>Cookie_t</dref> 
  4659.    --  <dref name="Value">Cookie_t_value</dref> 
  4660.  
  4661.    function "=" (Left, Right : Cookie_T) return Standard.Boolean; 
  4662.  
  4663.    COOKIE_DEFAULT : constant Cookie_T := (Value => Octet_Seq.DEFAULT_SEQUENCE); 
  4664.  
  4665.    type Cookie_T_Access is access all Cookie_T; 
  4666.    type Cookie_T_Array is array (Natural range <>) of aliased Cookie_T; 
  4667.  
  4668.    procedure Initialize (Self  : in out Cookie_T); 
  4669.    procedure Finalize (Self  : in out Cookie_T); 
  4670.    procedure Copy (Dst : in out Cookie_T; Src : in Cookie_T); 
  4671.  
  4672.  
  4673.    package Cookie_T_Seq is new DDS_Support.Sequences_Generic 
  4674.      (Cookie_T, 
  4675.       Cookie_T_Access, 
  4676.       DDS.Natural, 
  4677.       1, 
  4678.       Cookie_T_Array); 
  4679.    --  <dref>CookieSeq</dref> 
  4680.  
  4681.  
  4682.    --  ---------------------------------------------------------- 
  4683.    --                  AckResponseData 
  4684.    --  ---------------------------------------------------------- 
  4685.  
  4686.    type AckResponseData_T is record 
  4687.       Value     : aliased Octet_Seq.Sequence; 
  4688.    end record with 
  4689.      Convention => C; 
  4690.    --  <dref>AckResponseData_t</dref> 
  4691.    --  <dref name="Value">AckResponseData_t_value</dref> 
  4692.  
  4693.    --  ---------------------------------------------------------- 
  4694.    --                  SampleFlag 
  4695.    --  ---------------------------------------------------------- 
  4696.  
  4697.    type SampleFlagBits is new Unsigned_Long; 
  4698.  
  4699.    REDELIVERED_SAMPLE                 : constant SampleFlagBits := 2#0000_0001#; 
  4700.    INTERMEDIATE_REPLY_SEQUENCE_SAMPLE : constant SampleFlagBits := 2#0000_0010#; 
  4701.    REPLICATE_SAMPLE                   : constant SampleFlagBits := 2#0000_0100#; 
  4702.    LAST_SHARED_READER_QUEUE_SAMPLE    : constant SampleFlagBits := 2#0000_1000#; 
  4703.    INTERMEDIATE_TOPIC_QUERY_SAMPLE    : constant SampleFlagBits := 2#0001_0000#; 
  4704.    WRITER_REMOVED_BATCH_SAMPLE        : constant SampleFlagBits := 2#0010_0000#; 
  4705.    DISCOVERY_SERVICE_SAMPLE           : constant SampleFlagBits := 2#0100_0000#; 
  4706.  
  4707.  
  4708.    subtype SampleFlag is SampleFlagBits; 
  4709.  
  4710.    --  <dref>SampleFlag</dref> 
  4711.  
  4712.    --  ---------------------------------------------------------- 
  4713.    --                  WriteParams_t 
  4714.    --  ---------------------------------------------------------- 
  4715.  
  4716.    type WriteParams_T is record 
  4717.       Replace_Auto            : aliased DDS.Boolean  := False; 
  4718.       Identity                : aliased SampleIdentity_T := AUTO_SAMPLE_IDENTITY; 
  4719.       Related_Sample_Identity : aliased SampleIdentity_T := UNKNOWN_SAMPLE_IDENTITY; 
  4720.       Source_Timestamp        : aliased DDS.Time_T := Time_Invalid; 
  4721.       Cookie                  : aliased DDS.Cookie_T := COOKIE_DEFAULT; 
  4722.       Handle                  : aliased DDS.InstanceHandle_T := Null_InstanceHandle_T; 
  4723.       Priority                : aliased Long := 0; 
  4724.       Flush_On_Write          : aliased DDS.Boolean := False; 
  4725.       Flag                    : aliased SampleFlag := 0; 
  4726.       Source_Guid             : aliased Guid_T := GUID_AUTO; 
  4727.       Related_Source_Guid     : aliased Guid_T := GUID_UNKNOWN; 
  4728.       Related_Reader_Guid     : aliased Guid_T := GUID_UNKNOWN; 
  4729.       Topic_Query_Guid        : aliased Guid_T := GUID_UNKNOWN; 
  4730.       Related_Epoch           : aliased DDS.SequenceNumber_T := SEQUENCE_NUMBER_ZERO; 
  4731.    end record with Convention => C; 
  4732.    pragma Compile_Time_Error (DDS.WriteParams_T'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_WriteParams_t'Size, "DDS.WriteParams_T size mismatch"); 
  4733.  
  4734.    --  <dref>WriteParams</dref> 
  4735.    --  <dref>Shared_replace_auto</dref> 
  4736.    --  <dref name="Replace_Auto">WriteParams_replace_auto</dref> 
  4737.    --  <dref name="Identity">WriteParams_identity</dref> 
  4738.    --  <dref name="Related_Sample_Identity">WriteParams_related_sample_identity</dref> 
  4739.    --  <dref name="Source_Timestamp">WriteParams_source_timestamp</dref> 
  4740.    --  <dref name="Cookie">WriteParams_cookie</dref> 
  4741.    --  <dref name="Handle">WriteParams_handle</dref> 
  4742.    --  <dref name="Priority">WriteParams_priority</dref> 
  4743.    --  <dref internal="true" name="Flush_On_Write">WriteParams_flush_on_write</dref> 
  4744.    --  <dref name="Source_Guid">WriteParams_source_guid</dref> 
  4745.    --  <dref name="Related_Source_Guid">WriteParams_related_source_guid</dref> 
  4746.    --  <dref name="Related_Reader_Guid">WriteParams_related_reader_guid</dref> 
  4747.    --  <dref internal="true" name="Topic_Query_Guid">WriteParams_topic_query_guid</dref> 
  4748.    --  <dref internal="true" name="Related_Epoch">WriteParams_related_epoch</dref> 
  4749.  
  4750.    WRITEPARAMS_DEFAULT : constant WriteParams_T := (others => <>); 
  4751.  
  4752.    type WriteParams_T_Access is access all WriteParams_T; 
  4753.  
  4754.    procedure Initialize (Self : in out WriteParams_T); 
  4755.    procedure Finalize   (Self : in out WriteParams_T); 
  4756.    procedure Copy       (Dst  : in out WriteParams_T; Src : in WriteParams_T); 
  4757.  
  4758.    function WriteParams_Equals (Self : in WriteParams_T_Access; Other : in WriteParams_T_Access) 
  4759.                                 return DDS.Boolean; 
  4760.    pragma Warnings (Off, WriteParams_Equals); 
  4761.    pragma Import (C, WriteParams_Equals, "DDS_WriteParams_equals"); 
  4762.    --  <dref internal="true">WriteParams_equals</dref> 
  4763.  
  4764.    -- 
  4765.    --  From dds_c_typecode.h 
  4766.    -- 
  4767.  
  4768.    --  !!! Change name back to TypeCode when typecode object removed 
  4769.  
  4770.    type TypeCode is private; 
  4771.  
  4772.    type TypeCode_Access is access all TypeCode; 
  4773.  
  4774.    --  From dds_c_typeobject.h 
  4775.    -- 
  4776.  
  4777.    type TypeObject is private; 
  4778.  
  4779.    type TypeObject_Access is access all TypeObject; 
  4780.  
  4781.    -- 
  4782.    --  From dds_c_builtin.h 
  4783.    -- 
  4784.  
  4785.    BUILTIN_TOPIC_MAX_STRING_LENGTH : constant := 256; 
  4786.    --  <dref internal="true"></dref> 
  4787.  
  4788.    type Transport_ClassId_T is new Long; 
  4789.    type TransportInfo_T is record 
  4790.       Class_Id         : aliased Transport_ClassId_T := 0; 
  4791.       Message_Size_Max : aliased Long := 1024; 
  4792.    end record with Convention => C; 
  4793.    --  <dref>TransportInfo_t</dref> 
  4794.    --  <dref name="class_id">TransportInfo_t_class_id</dref> 
  4795.    --  <dref name="message_size_max">TransportInfo_t_message_size_max</dref> 
  4796.  
  4797.    type TransportInfo_Access is access all TransportInfo_T; 
  4798.    type TransportInfo_Array is array (Natural range <>) of aliased TransportInfo_T with Convention => C; 
  4799.  
  4800.  
  4801.    procedure Initialize (This : in out TransportInfo_T); 
  4802.    procedure Finalize (This : in out TransportInfo_T); 
  4803.    procedure Copy (Dst : in out TransportInfo_T; 
  4804.                    Src : in TransportInfo_T); 
  4805.  
  4806.    package TransportInfo_Seq is new DDS_Support.Sequences_Generic 
  4807.      (Element        => DDS.TransportInfo_T, 
  4808.       Element_Access => DDS.TransportInfo_Access, 
  4809.       Index_Type     => Natural, 
  4810.       First_Element  => 1, 
  4811.       Element_Array  => DDS.TransportInfo_Array); 
  4812.  
  4813.  
  4814.    --  ########################################################################## 
  4815.    --  ########################################################################## 
  4816.  
  4817.    type ParticipantTrustAttributesMask is mod 2 ** 32; 
  4818.  
  4819.    type PluginParticipantTrustAttributesMask is mod 2 ** 32; 
  4820.  
  4821.  
  4822.    type ParticipantTrustProtectionInfo is record 
  4823.       Bitmask           : aliased ParticipantTrustAttributesMask := 0; 
  4824.       Plugin_Bitmask    : aliased PluginParticipantTrustAttributesMask := 0; 
  4825.    end record 
  4826.      with Convention => C; 
  4827.    pragma Compile_Time_Error (RTIDDS.Low_Level.ndds_dds_c_dds_c_builtin_trust_h.DDS_ParticipantTrustProtectionInfo'Size /= ParticipantTrustProtectionInfo'Size, "ParticipantTrustProtectionInfo got wrong size"); 
  4828.  
  4829.    type EndpointTrustAttributesMask is mod 2 ** 32; 
  4830.    type PluginEndpointTrustAttributesMask is mod 2 ** 32; 
  4831.  
  4832.    type EndpointTrustProtectionInfo is record 
  4833.       Bitmask        : aliased EndpointTrustAttributesMask := 0; 
  4834.       Plugin_Bitmask : aliased PluginEndpointTrustAttributesMask := 0; 
  4835.    end record 
  4836.      with Convention => C; 
  4837.  
  4838.  
  4839.    type TrustAlgorithmBit is mod 2 ** 32; 
  4840.    type TrustAlgorithmSet is mod 2 ** 32; 
  4841.  
  4842.    type TrustAlgorithmRequirements is record 
  4843.       Supported_Mask : aliased TrustAlgorithmSet := 0; 
  4844.       Required_Mask  : aliased TrustAlgorithmSet := 0; 
  4845.    end record 
  4846.      with Convention => C; 
  4847.  
  4848.  
  4849.    type ParticipantTrustSignatureAlgorithmInfo is record 
  4850.       Trust_Chain  : aliased TrustAlgorithmRequirements; 
  4851.       Message_Auth : aliased TrustAlgorithmRequirements; 
  4852.    end record 
  4853.      with Convention => C; 
  4854.  
  4855.  
  4856.    type ParticipantTrustKeyEstablishmentAlgorithmInfo is record 
  4857.       Shared_Secret : aliased TrustAlgorithmRequirements; 
  4858.    end record 
  4859.      with Convention => C; 
  4860.  
  4861.    type ParticipantTrustInterceptorAlgorithmInfo is record 
  4862.       Supported_Mask                         : aliased TrustAlgorithmSet := 0; 
  4863.       Builtin_Endpoints_Required_Mask        : aliased TrustAlgorithmSet := 0; 
  4864.       Builtin_Kx_Endpoints_Required_Mask     : aliased TrustAlgorithmSet := 0; 
  4865.       User_Endpoints_Default_Required_Mask   : aliased TrustAlgorithmSet := 0; 
  4866.    end record 
  4867.      with Convention => C; 
  4868.  
  4869.  
  4870.    type ParticipantTrustAlgorithmInfo is record 
  4871.       Signature         : aliased ParticipantTrustSignatureAlgorithmInfo; 
  4872.       Key_Establishment : aliased ParticipantTrustKeyEstablishmentAlgorithmInfo; 
  4873.       Interceptor       : aliased ParticipantTrustInterceptorAlgorithmInfo; 
  4874.    end record 
  4875.      with Convention => C_Pass_By_Copy; 
  4876.  
  4877.  
  4878.    type EndpointTrustInterceptorAlgorithmInfo is record 
  4879.       Required_Mask  : aliased TrustAlgorithmSet := 0; 
  4880.       Supported_Mask : aliased TrustAlgorithmSet := 0; 
  4881.    end record 
  4882.      with Convention => C_Pass_By_Copy; 
  4883.  
  4884.  
  4885.    type EndpointTrustAlgorithmInfo is record 
  4886.       Interceptor : aliased EndpointTrustInterceptorAlgorithmInfo; 
  4887.    end record 
  4888.      with Convention => C_Pass_By_Copy; 
  4889.  
  4890.  
  4891.    --  ---------------------------------------------------------- 
  4892.    --                  ParticipantBuiltinTopicData 
  4893.    --  ---------------------------------------------------------- 
  4894.  
  4895.    PARTICIPANT_TOPIC_NAME : constant DDS.String := To_DDS_String ("DCPSParticipant"); 
  4896.    --  <dref>PARTICIPANT_TOPIC_NAME</dref> 
  4897.  
  4898.    PARTICIPANT_TRUSTED_TOPIC_NAME : constant DDS.String := To_DDS_String ("DCPSParticipantSecure"); 
  4899.    --  <dref internal="true">PARTICIPANT_TRUSTED_TOPIC_NAME</dref> 
  4900.  
  4901.    PARTICIPANT_MESSAGE_TOPIC_NAME : constant DDS.String := To_DDS_String ("DCPSParticipantMessage"); 
  4902.    --  <dref internal="true">PARTICIPANT_MESSAGE_TOPIC_NAME</dref> 
  4903.  
  4904.    PARTICIPANT_MESSAGE_TRUSTED_TOPIC_NAME : constant DDS.String := To_DDS_String ("DCPSParticipantMessageSecure"); 
  4905.    --  <dref internal="true">PARTICIPANT_MESSAGE_TRUSTED_TOPIC_NAME</dref> 
  4906.  
  4907.    PARTICIPANT_PROXY_TOPIC_NAME : constant DDS.String := To_DDS_String ("DDSParticipantProxy"); 
  4908.    --  <dref internal="true">PARTICIPANT_PROXY_TOPIC_NAME</dref> 
  4909.  
  4910.    PARTICIPANT_STATE_TOPIC_NAME : constant DDS.String := To_DDS_String ("DDSParticipantState"); 
  4911.    --  <dref internal="true">PARTICIPANT_STATE_TOPIC_NAME</dref> 
  4912.  
  4913.    ParticipantBuiltinTopicData_TypeName : DDS.String := (Data => RTIDDS.Low_Level.ndds_dds_c_dds_c_builtin_impl_h.DDS_PARTICIPANT_TYPE_NAME); 
  4914.  
  4915.    type ParticipantBuiltinTopicData is record 
  4916.       Key                            : aliased BuiltinTopicKey_T; 
  4917.       User_Data                      : aliased UserDataQosPolicy; 
  4918.       Property                       : aliased PropertyQosPolicy; 
  4919.       Rtps_Protocol_Version          : aliased ProtocolVersion_T; 
  4920.       Rtps_Vendor_Id                 : aliased VendorId_T; 
  4921.       Dds_Builtin_Endpoints          : aliased Unsigned_Long := 0; 
  4922.       Metatraffic_Unicast_Locators   : aliased Locator_Seq.Sequence; 
  4923.       Metatraffic_Multicast_Locators : aliased Locator_Seq.Sequence; 
  4924.       Default_Unicast_Locators       : aliased Locator_Seq.Sequence; 
  4925.       Lease_Duration                 : aliased Duration_T; 
  4926.       Product_Version                : aliased ProductVersion_T; 
  4927.       Participant_Name               : aliased EntityNameQosPolicy; 
  4928.       Domain_Id                      : aliased DomainId_T := 0; 
  4929.       Transport_Info                 : aliased TransportInfo_Seq.Sequence; 
  4930.       Reachability_Lease_Duration    : aliased Duration_T; 
  4931.       Partition                      : aliased PartitionQosPolicy; 
  4932.       Trust_Protection_Info          : aliased ParticipantTrustProtectionInfo; 
  4933.       Trust_Algorithm_Info           : aliased ParticipantTrustAlgorithmInfo; 
  4934.       Partial_Configuration          : aliased Boolean := False; 
  4935.       Vendor_Builtin_Endpoints       : aliased Unsigned_Long := 0; 
  4936.       Service                        : aliased ServiceQosPolicy; 
  4937.    end record with Convention => C; 
  4938.    pragma Compile_Time_Error (ParticipantBuiltinTopicData'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_builtin_h.DDS_ParticipantBuiltinTopicData'Size, "ParticipantBuiltinTopicData size missmatch."); 
  4939.  
  4940.    type ParticipantBuiltinTopicData_Access is access all ParticipantBuiltinTopicData; 
  4941.  
  4942.    ParticipantBuiltinTopicData_INITIALIZER : constant ParticipantBuiltinTopicData := (others => <>); 
  4943.    function Hash (Item : DDS.ParticipantBuiltinTopicData) return Ada.Containers.Hash_Type; 
  4944.  
  4945.    procedure Print (UserData : ParticipantBuiltinTopicData_Access; 
  4946.                     Desc     : DDS.String; 
  4947.                     Indent   : Long) with 
  4948.      Convention => C, 
  4949.      Import => True, 
  4950.      Link_Name => "DDS_ParticipantBuiltinTopicDataPlugin_print"; 
  4951.  
  4952.    type ParticipantBuiltinTopicData_Array is array (Natural range <>) of aliased ParticipantBuiltinTopicData; 
  4953.    pragma Convention (C, ParticipantBuiltinTopicData_Array); 
  4954.  
  4955.    function ParticipantBuiltinTopicData_Get_TypeCode return Standard.DDS.TypeCode_Access; 
  4956.    pragma Import (C, ParticipantBuiltinTopicData_Get_TypeCode, "DDS_ParticipantBuiltinTopicData_get_typecode"); 
  4957.  
  4958.    procedure Initialize (This : in out ParticipantBuiltinTopicData); 
  4959.    procedure Finalize (This : in out ParticipantBuiltinTopicData); 
  4960.    procedure Copy (Dst : in out ParticipantBuiltinTopicData; 
  4961.                    Src : in ParticipantBuiltinTopicData); 
  4962.  
  4963.    package ParticipantBuiltinTopicData_Seq is new DDS_Support.Sequences_Generic 
  4964.      (Element        => DDS.ParticipantBuiltinTopicData, 
  4965.       Element_Access => DDS.ParticipantBuiltinTopicData_Access, 
  4966.       Index_Type     => Natural, 
  4967.       First_Element  => 1, 
  4968.       Element_Array  => DDS.ParticipantBuiltinTopicData_Array); 
  4969.    --  <dref>ParticipantBuiltinTopicDataSeq</dref> 
  4970.  
  4971.    --  ---------------------------------------------------------- 
  4972.    --                  TopicBuiltinTopicData 
  4973.    --  ---------------------------------------------------------- 
  4974.  
  4975.    TOPIC_TOPIC_NAME : constant DDS.String := To_DDS_String ("DCPSTopic"); 
  4976.    --  <dref>TOPIC_TOPIC_NAME</dref> 
  4977.  
  4978.    TopicBuiltinTopicData_TypeName : DDS.String := (Data => RTIDDS.Low_Level.ndds_dds_c_dds_c_builtin_impl_h.DDS_TOPIC_TYPE_NAME); 
  4979.  
  4980.    type TopicBuiltinTopicData is record 
  4981.       Key                       : aliased BuiltinTopicKey_T; 
  4982.       Name                      : aliased DDS.String; 
  4983.       Type_Name                 : aliased DDS.String; 
  4984.       Durability                : aliased DurabilityQosPolicy; 
  4985.       Durability_Service        : aliased DurabilityServiceQosPolicy; 
  4986.       Deadline                  : aliased DeadlineQosPolicy; 
  4987.       Latency_Budget            : aliased LatencyBudgetQosPolicy; 
  4988.       Liveliness                : aliased LivelinessQosPolicy; 
  4989.       Reliability               : aliased ReliabilityQosPolicy; 
  4990.       Transport_Priority        : aliased TransportPriorityQosPolicy; 
  4991.       Lifespan                  : aliased LifespanQosPolicy; 
  4992.       Destination_Order         : aliased DestinationOrderQosPolicy; 
  4993.       History                   : aliased HistoryQosPolicy; 
  4994.       Resource_Limits           : aliased ResourceLimitsQosPolicy; 
  4995.       Ownership                 : aliased OwnershipQosPolicy; 
  4996.       Topic_Data                : aliased TopicDataQosPolicy; 
  4997.       Representation            : aliased DataRepresentationQosPolicy; 
  4998.    end record with Convention => C; 
  4999.    pragma Compile_Time_Error (TopicBuiltinTopicData'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_builtin_h.DDS_TopicBuiltinTopicData'Size, "TopicBuiltinTopicData size missmatch."); 
  5000.  
  5001.    --  <defgroup>TopicBuiltInTopicGroupDocs</defgroup> 
  5002.    --  <dref>TopicBuiltinTopicData</dref> 
  5003.    --  <dref>Shared_topic_builtin_topic_data_description</dref> 
  5004.    --  <dref name="Key">TopicBuiltinTopicData_key</dref> 
  5005.    --  <dref name="Name">TopicBuiltinTopicData_name</dref> 
  5006.    --  <dref name="Type_Name">TopicBuiltinTopicData_type_name</dref> 
  5007.    --  <dref name="Durability">TopicBuiltinTopicData_durability</dref> 
  5008.    --  <dref name="Durability_Service">TopicBuiltinTopicData_durability_service</dref> 
  5009.    --  <dref name="Deadline">TopicBuiltinTopicData_deadline</dref> 
  5010.    --  <dref name="Latency_Budget">TopicBuiltinTopicData_latency_budget</dref> 
  5011.    --  <dref name="Liveliness">TopicBuiltinTopicData_liveliness</dref> 
  5012.    --  <dref name="Reliability">TopicBuiltinTopicData_reliability</dref> 
  5013.    --  <dref name="Transport_Priority">TopicBuiltinTopicData_transport_priority</dref> 
  5014.    --  <dref name="Lifespan">TopicBuiltinTopicData_lifespan</dref> 
  5015.    --  <dref name="Destination_Order">TopicBuiltinTopicData_destination_order</dref> 
  5016.    --  <dref name="History">TopicBuiltinTopicData_history</dref> 
  5017.    --  <dref name="Resource_Limits">TopicBuiltinTopicData_resource_limits</dref> 
  5018.    --  <dref name="Ownership">TopicBuiltinTopicData_ownership</dref> 
  5019.    --  <dref name="Topic_Data">TopicBuiltinTopicData_topic_data</dref> 
  5020.    --  <dref name="Representation">TopicBuiltinTopicData_representation</dref> 
  5021.  
  5022.  
  5023.    type TopicBuiltinTopicData_Access is access all TopicBuiltinTopicData; 
  5024.  
  5025.    TopicBuiltinTopicData_INITIALIZER : constant TopicBuiltinTopicData := (others => <>); 
  5026.  
  5027.  
  5028.    type TopicBuiltinTopicData_Array is array (Natural range <>) of aliased TopicBuiltinTopicData; 
  5029.    pragma Convention (C, TopicBuiltinTopicData_Array); 
  5030.  
  5031.    function TopicBuiltinTopicData_Get_TypeCode return Standard.DDS.TypeCode_Access; 
  5032.    pragma Import (C, TopicBuiltinTopicData_Get_TypeCode, "DDS_TopicBuiltinTopicData_get_typecode"); 
  5033.  
  5034.    procedure Initialize (This : in out TopicBuiltinTopicData); 
  5035.    procedure Finalize (This : in out TopicBuiltinTopicData); 
  5036.    procedure Copy (Dst : in out TopicBuiltinTopicData; 
  5037.                    Src : in TopicBuiltinTopicData); 
  5038.  
  5039.    package TopicBuiltinTopicData_Seq is new DDS_Support.Sequences_Generic 
  5040.      (Element        => DDS.TopicBuiltinTopicData, 
  5041.       Element_Access => DDS.TopicBuiltinTopicData_Access, 
  5042.       Index_Type     => Natural, 
  5043.       First_Element  => 1, 
  5044.       Element_Array  => DDS.TopicBuiltinTopicData_Array); 
  5045.    --  <dref>TopicBuiltinTopicDataSeq</dref> 
  5046.  
  5047.    --  ---------------------------------------------------------- 
  5048.    --                  Service Request Topic Data 
  5049.    --  ---------------------------------------------------------- 
  5050.  
  5051.    --  <defgroup>ServiceRequestBuiltInTopicGroupDocs</defgroup> 
  5052.    type ServiceRequestInternalTopicData is record 
  5053.       Service_Id                : aliased Long := 0; 
  5054.       Instance_Id               : aliased Guid_T; 
  5055.       Request_Body              : aliased Octet_Seq.Sequence; 
  5056.    end record with Convention => C; 
  5057.    --  <dref>ServiceRequest</dref> 
  5058.  
  5059.    type ServiceRequestInternalTopicData_Access is access all ServiceRequestInternalTopicData; 
  5060.  
  5061.    --  ---------------------------------------------------------- 
  5062.    --                  PublicationBuiltinTopicData 
  5063.    --  ---------------------------------------------------------- 
  5064.  
  5065.    PUBLICATION_TOPIC_NAME : constant DDS.String := To_DDS_String ("DCPSPublication"); 
  5066.    --  <dref>PUBLICATION_TOPIC_NAME</dref> 
  5067.  
  5068.    PUBLICATION_TRUSTED_TOPIC_NAME : constant DDS.String := To_DDS_String ("DCPSPublicationSecure"); 
  5069.    --  <dref internal="true">PUBLICATION_TRUSTED_TOPIC_NAME</dref> 
  5070.  
  5071.    PublicationBuiltinTopicData_TypeName : DDS.String := (Data => RTIDDS.Low_Level.ndds_dds_c_dds_c_builtin_impl_h.DDS_PUBLICATION_TYPE_NAME); 
  5072.  
  5073.    type PublicationBuiltinTopicData is record 
  5074.       Key                       : aliased BuiltinTopicKey_T; 
  5075.       Participant_Key           : aliased BuiltinTopicKey_T; 
  5076.       Topic_Name                : aliased DDS.String; 
  5077.       Type_Name                 : aliased DDS.String; 
  5078.       Max_Sample_Serialize_Size : aliased Long := 0; 
  5079.       Durability                : aliased DurabilityQosPolicy; 
  5080.       Durability_Service        : aliased DurabilityServiceQosPolicy; 
  5081.       Deadline                  : aliased DeadlineQosPolicy; 
  5082.       Latency_Budget            : aliased LatencyBudgetQosPolicy; 
  5083.       Liveliness                : aliased LivelinessQosPolicy; 
  5084.       Reliability               : aliased ReliabilityQosPolicy; 
  5085.       Lifespan                  : aliased LifespanQosPolicy; 
  5086.       User_Data                 : aliased UserDataQosPolicy; 
  5087.       Ownership                 : aliased OwnershipQosPolicy; 
  5088.       Ownership_Strength        : aliased OwnershipStrengthQosPolicy; 
  5089.       Destination_Order         : aliased DestinationOrderQosPolicy; 
  5090.       Presentation              : aliased PresentationQosPolicy; 
  5091.       Partition                 : aliased PartitionQosPolicy; 
  5092.       Topic_Data                : aliased TopicDataQosPolicy; 
  5093.       Group_Data                : aliased GroupDataQosPolicy; 
  5094.       Type_Object               : aliased TypeObject_Access; 
  5095.       Representation            : aliased DataRepresentationQosPolicy; 
  5096.       Data_Tags                 : aliased DataTagQosPolicy; 
  5097.       --  extensions 
  5098.       Type_Code                 : aliased TypeCode_Access; 
  5099.       Publisher_Key             : aliased BuiltinTopicKey_T; 
  5100.       Property                  : aliased PropertyQosPolicy; 
  5101.       Unicast_Locators          : aliased Locator_Seq.Sequence; 
  5102.       Virtual_Guid              : aliased Guid_T; 
  5103.       Service                   : aliased ServiceQosPolicy; 
  5104.       Rtps_Protocol_Version     : aliased ProtocolVersion_T; 
  5105.       Rtps_Vendor_Id            : aliased VendorId_T; 
  5106.       Product_Version           : aliased ProductVersion_T; 
  5107.       Locator_Filter            : aliased LocatorFilterQosPolicy; 
  5108.       Disable_Positive_Acks     : aliased Boolean := False; 
  5109.       Send_Queue_Size           : aliased Long := 0; 
  5110.       Is_Incompatible           : aliased Boolean := False; 
  5111.       Publication_Name          : aliased EntityNameQosPolicy; 
  5112.       Trust_Protection_Info     : aliased EndpointTrustProtectionInfo; 
  5113.       Trust_Algorithm_Info      : aliased EndpointTrustAlgorithmInfo; 
  5114.  
  5115.    end record with Convention => C; 
  5116.    pragma Compile_Time_Error (PublicationBuiltinTopicData'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_builtin_h.DDS_PublicationBuiltinTopicData'Size, "PublicationBuiltinTopicData size missmatch."); 
  5117.  
  5118.    --  <defgroup>PublicationBuiltInTopicGroupDocs</defgroup> 
  5119.    --  <dref>PublicationBuiltinTopicData</dref> 
  5120.    --  <dref>Shared_publication_builtin_topic_data_description</dref> 
  5121.    --  <dref name="Key">PublicationBuiltinTopicData_key</dref> 
  5122.    --  <dref name="Participant_Key">PublicationBuiltinTopicData_participant_key</dref> 
  5123.    --  <dref name="Topic_Name">PublicationBuiltinTopicData_topic_name</dref> 
  5124.    --  <dref name="Type_Name">PublicationBuiltinTopicData_type_name</dref> 
  5125.    --  <dref internal="true" name="Max_Sample_Serialize_Size">PublicationBuiltinTopicData_max_sample_serialized_size</dref> 
  5126.    --  <dref name="Durability">PublicationBuiltinTopicData_durability</dref> 
  5127.    --  <dref name="Durability_Service">PublicationBuiltinTopicData_durability_service</dref> 
  5128.    --  <dref name="Deadline">PublicationBuiltinTopicData_deadline</dref> 
  5129.    --  <dref name="Latency_Budget">PublicationBuiltinTopicData_latency_budget</dref> 
  5130.    --  <dref name="Liveliness">PublicationBuiltinTopicData_liveliness</dref> 
  5131.    --  <dref name="Reliability">PublicationBuiltinTopicData_reliability</dref> 
  5132.    --  <dref name="Lifespan">PublicationBuiltinTopicData_lifespan</dref> 
  5133.    --  <dref name="User_Data">PublicationBuiltinTopicData_user_data</dref> 
  5134.    --  <dref name="Ownership">PublicationBuiltinTopicData_ownership</dref> 
  5135.    --  <dref name="Ownership_Strength">PublicationBuiltinTopicData_ownership_strength</dref> 
  5136.    --  <dref name="Destination_Order">PublicationBuiltinTopicData_destination_order</dref> 
  5137.    --  <dref name="Presentation">PublicationBuiltinTopicData_presentation</dref> 
  5138.    --  <dref name="Partition">PublicationBuiltinTopicData_partition</dref> 
  5139.    --  <dref name="Topic_Data">PublicationBuiltinTopicData_topic_data</dref> 
  5140.    --  <dref name="Group_Data">PublicationBuiltinTopicData_group_data</dref> 
  5141.    --  <dref internal="true" name="Type_Object">PublicationBuiltinTopicData_type_object</dref> 
  5142.    --  <dref name="Representation">PublicationBuiltinTopicData_representation</dref> 
  5143.    --  <dref name="Data_Tags">PublicationBuiltinTopicData_data_tags</dref> 
  5144.    --  <dref name="Type_Code">PublicationBuiltinTopicData_type_code</dref> 
  5145.    --  <dref name="Publisher_Key">PublicationBuiltinTopicData_publisher_key</dref> 
  5146.    --  <dref name="Property">PublicationBuiltinTopicData_property</dref> 
  5147.    --  <dref name="Unicast_Locators">PublicationBuiltinTopicData_unicast_locators</dref> 
  5148.    --  <dref name="Virtual_Guid">PublicationBuiltinTopicData_virtual_guid</dref> 
  5149.    --  <dref name="Service">PublicationBuiltinTopicData_service</dref> 
  5150.    --  <dref name="Rtps_Protocol_Version">PublicationBuiltinTopicData_rtps_protocol_version</dref> 
  5151.    --  <dref name="Rtps_Vendor_Id">PublicationBuiltinTopicData_rtps_vendor_id</dref> 
  5152.    --  <dref name="Product_Version">PublicationBuiltinTopicData_product_version</dref> 
  5153.    --  <dref name="locator_filter">PublicationBuiltinTopicData_locator_filter</dref> 
  5154.    --  <dref name="disable_positive_acks">PublicationBuiltinTopicData_disable_positive_acks</dref> 
  5155.    --  <dref internal="true" name="send_queue_zize">PublicationBuiltinTopicData_send_queue_size</dref> 
  5156.    --  <dref internal="true" name="is_compatible">PublicationBuiltinTopicData_is_compatible</dref> 
  5157.    --  <dref name="publication_name">PublicationBuiltinTopicData_publication_name</dref> 
  5158.  
  5159.    function Hash (Item : DDS.PublicationBuiltinTopicData) return Ada.Containers.Hash_Type; 
  5160.  
  5161.    type PublicationBuiltinTopicData_Access is access all PublicationBuiltinTopicData; 
  5162.  
  5163.    --     PublicationBuiltinTopicData_INITIALIZER : constant PublicationBuiltinTopicData := 
  5164.    --                                                 (Key                        => BuiltinTopicKey_T_INITIALIZER, 
  5165.    --                                                  Participant_Key            => BuiltinTopicKey_T_INITIALIZER, 
  5166.    --                                                  Topic_Name                 => NULL_STRING, 
  5167.    --                                                  Type_Name                  => NULL_STRING, 
  5168.    --                                                  Max_Sample_Serialize_Size  => 0, 
  5169.    --                                                  Durability                 => DURABILITY_QOS_POLICY_DEFAULT, 
  5170.    --                                                  Durability_Service         => DURABILITY_SERVICE_QOS_POLICY_DEFAULT, 
  5171.    --                                                  Deadline                   => DEADLINE_QOS_POLICY_DEFAULT, 
  5172.    --                                                  Latency_Budget             => LATENCY_BUDGET_QOS_POLICY_DEFAULT, 
  5173.    --                                                  Liveliness                 => LIVELINESS_QOS_POLICY_DEFAULT, 
  5174.    --                                                  Reliability                => RELIABILITY_QOS_POLICY_DEFAULT, 
  5175.    --                                                  Lifespan                   => LIFESPAN_QOS_POLICY_DEFAULT, 
  5176.    --                                                  User_Data                  => USER_DATA_QOS_POLICY_DEFAULT, 
  5177.    --                                                  Ownership                  => OWNERSHIP_QOS_POLICY_DEFAULT, 
  5178.    --                                                  Ownership_Strength         => OWNERSHIP_STRENGTH_QOS_POLICY_DEFAULT, 
  5179.    --                                                  Destination_Order          => DESTINATION_ORDER_QOS_POLICY_DEFAULT, 
  5180.    --                                                  Presentation               => PRESENTATION_QOS_POLICY_DEFAULT, 
  5181.    --                                                  Partition                  => PARTITION_QOS_POLICY_DEFAULT, 
  5182.    --                                                  Topic_Data                 => TOPIC_DATA_QOS_POLICY_DEFAULT, 
  5183.    --                                                  Group_Data                 => GROUP_DATA_QOS_POLICY_DEFAULT, 
  5184.    --                                                  Representation             => DataRepresentationQosPolicy_INITIALIZER, 
  5185.    --                                                  Data_Tags                  => DataTagQosPolicy_INITIALIZER, 
  5186.    --                                                  Type_Code                  => null, 
  5187.    --                                                  Publisher_Key              => BuiltinTopicKey_T_INITIALIZER, 
  5188.    --                                                  Property                   => PROPERTY_QOS_POLICY_DEFAULT, 
  5189.    --                                                  Unicast_Locators           => LocatorSeq.DEFAULT_SEQUENCE, 
  5190.    --                                                  Virtual_Guid               => (Value => (others => 0)), 
  5191.    --                                                  Service                    => SERVICE_QOS_POLICY_DEFAULT, 
  5192.    --                                                  Rtps_Protocol_Version      => PROTOCOL_VERSION_DEFAULT, 
  5193.    --                                                  Rtps_Vendor_Id             => VENDOR_ID_DEFAULT, 
  5194.    --                                                  Product_Version            => PRODUCTVERSION_UNKNOWN); 
  5195.  
  5196.    type PublicationBuiltinTopicData_Array is array (Natural range <>) of aliased PublicationBuiltinTopicData; 
  5197.    pragma Convention (C, PublicationBuiltinTopicData_Array); 
  5198.  
  5199.    function PublicationBuiltinTopicData_Get_TypeCode return Standard.DDS.TypeCode_Access; 
  5200.    pragma Import (C, PublicationBuiltinTopicData_Get_TypeCode, "DDS_PublicationBuiltinTopicData_get_typecode"); 
  5201.  
  5202.    procedure Initialize (This : in out PublicationBuiltinTopicData); 
  5203.    procedure Finalize (This : in out PublicationBuiltinTopicData); 
  5204.    procedure Copy (Dst : in out PublicationBuiltinTopicData; 
  5205.                    Src : in PublicationBuiltinTopicData); 
  5206.  
  5207.    package PublicationBuiltinTopicData_Seq is new DDS_Support.Sequences_Generic 
  5208.      (Element        => DDS.PublicationBuiltinTopicData, 
  5209.       Element_Access => DDS.PublicationBuiltinTopicData_Access, 
  5210.       Index_Type     => Natural, 
  5211.       First_Element  => 1, 
  5212.       Element_Array  => DDS.PublicationBuiltinTopicData_Array); 
  5213.    --  <dref>PublicationBuiltinTopicDataSeq</dref> 
  5214.  
  5215.    --  ---------------------------------------------------------- 
  5216.    --                  SubscriptionBuiltinTopicData 
  5217.    --  ---------------------------------------------------------- 
  5218.  
  5219.    SUBSCRIPTION_TOPIC_NAME : constant DDS.String := To_DDS_String ("DCPSSubscription"); 
  5220.    --  <dref>SUBSCRIPTION_TOPIC_NAME</dref> 
  5221.  
  5222.    SUBSCRIPTION_TRUSTED_TOPIC_NAME : constant DDS.String := To_DDS_String ("DCPSSubscriptionSecure"); 
  5223.    --  <dref internal="true">SUBSCRIPTION_TRUSTED_TOPIC_NAME</dref> 
  5224.  
  5225.    SubscriptionBuiltinTopicData_TypeName : DDS.String := (Data => RTIDDS.Low_Level.ndds_dds_c_dds_c_builtin_impl_h.DDS_SUBSCRIPTION_TYPE_NAME); 
  5226.  
  5227.    type SubscriptionBuiltinTopicData is record 
  5228.       Key                     : aliased BuiltinTopicKey_T; 
  5229.       Participant_Key         : aliased BuiltinTopicKey_T; 
  5230.       Topic_Name              : aliased DDS.String; 
  5231.       Type_Name               : aliased DDS.String; 
  5232.       Durability              : aliased DurabilityQosPolicy; 
  5233.       Deadline                : aliased DeadlineQosPolicy; 
  5234.       Latency_Budget          : aliased LatencyBudgetQosPolicy; 
  5235.       Liveliness              : aliased LivelinessQosPolicy; 
  5236.       Reliability             : aliased ReliabilityQosPolicy; 
  5237.       Ownership               : aliased OwnershipQosPolicy; 
  5238.       Destination_Order       : aliased DestinationOrderQosPolicy; 
  5239.       User_Data               : aliased UserDataQosPolicy; 
  5240.       Time_Based_Filter       : aliased TimeBasedFilterQosPolicy; 
  5241.       Presentation            : aliased PresentationQosPolicy; 
  5242.       Partition               : aliased PartitionQosPolicy; 
  5243.       Topic_Data              : aliased TopicDataQosPolicy; 
  5244.       Group_Data              : aliased GroupDataQosPolicy; 
  5245.       Type_Consistency        : aliased TypeConsistencyEnforcementQosPolicy; 
  5246.       Type_Object             : aliased TypeObject_Access; 
  5247.       Representation          : aliased DataRepresentationQosPolicy; 
  5248.       Data_Tags               : aliased DataTagQosPolicy; 
  5249.       --  extensions 
  5250.       Type_Code               : aliased TypeCode_Access; 
  5251.       Subscriber_Key          : aliased BuiltinTopicKey_T; 
  5252.       Property                : aliased PropertyQosPolicy; 
  5253.       Unicast_Locators        : aliased Locator_Seq.Sequence; 
  5254.       Multicast_Locators      : aliased Locator_Seq.Sequence; 
  5255.       Content_Filter_Property : aliased ContentFilterProperty_T; 
  5256.       Virtual_Guid            : aliased Guid_T; 
  5257.       Service                 : aliased ServiceQosPolicy; 
  5258.       Rtps_Protocol_Version   : aliased ProtocolVersion_T; 
  5259.       Rtps_Vendor_Id          : aliased VendorId_T; 
  5260.       Product_Version         : aliased ProductVersion_T; 
  5261.       Disable_Positive_Acks   : aliased Boolean := False; 
  5262.       Expects_Inline_Qos      : aliased Boolean := False; 
  5263.       Receive_Queue_Size      : aliased Long := 0; 
  5264.       Is_Incompatible         : aliased Boolean := False; 
  5265.       Subscription_Name       : aliased EntityNameQosPolicy; 
  5266.       Trust_Protection_Info   : aliased EndpointTrustProtectionInfo; 
  5267.       Trust_Algorithm_Info    : aliased EndpointTrustAlgorithmInfo; 
  5268.    end record with Convention => C; 
  5269.    pragma Compile_Time_Error (SubscriptionBuiltinTopicData'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_builtin_h.DDS_SubscriptionBuiltinTopicData'Size, "SubscriptionBuiltinTopicData size missmatch."); 
  5270.  
  5271.    --  <defgroup>SubscriptionBuiltInTopicGroupDocs</defgroup> 
  5272.    --  <dref>SubscriptionBuiltinTopicData</dref> 
  5273.    --  <dref>Shared_subscription_builtin_topic_data_description</dref> 
  5274.    --  <dref name="Key">SubscriptionBuiltinTopicData_key</dref> 
  5275.    --  <dref name="Participant_Key">SubscriptionBuiltinTopicData_participant_key</dref> 
  5276.    --  <dref name="Topic_Name">SubscriptionBuiltinTopicData_topic_name</dref> 
  5277.    --  <dref name="Type_Name">SubscriptionBuiltinTopicData_type_name</dref> 
  5278.    --  <dref name="Durability">SubscriptionBuiltinTopicData_durability</dref> 
  5279.    --  <dref name="Deadline">SubscriptionBuiltinTopicData_deadline</dref> 
  5280.    --  <dref name="Latency_Budget">SubscriptionBuiltinTopicData_latency_budget</dref> 
  5281.    --  <dref name="Liveliness">SubscriptionBuiltinTopicData_liveliness</dref> 
  5282.    --  <dref name="Reliability">SubscriptionBuiltinTopicData_reliability</dref> 
  5283.    --  <dref name="Ownership">SubscriptionBuiltinTopicData_ownership</dref> 
  5284.    --  <dref name="Destination_Order">SubscriptionBuiltinTopicData_destination_order</dref> 
  5285.    --  <dref name="User_Data">SubscriptionBuiltinTopicData_user_data</dref> 
  5286.    --  <dref name="Time_Based_Filter">SubscriptionBuiltinTopicData_time_based_filter</dref> 
  5287.    --  <dref name="Presentation">SubscriptionBuiltinTopicData_presentation</dref> 
  5288.    --  <dref name="Partition">SubscriptionBuiltinTopicData_partition</dref> 
  5289.    --  <dref name="Topic_Data">SubscriptionBuiltinTopicData_topic_data</dref> 
  5290.    --  <dref name="Group_Data">SubscriptionBuiltinTopicData_group_data</dref> 
  5291.    --  <dref name="Type_Consistency">SubscriptionBuiltinTopicData_type_consistency</dref> 
  5292.    --  <dref internal="true" name="Type_Object">SubscriptionBuiltinTopicData_type</dref> 
  5293.    --  <dref name="Representation">SubscriptionBuiltinTopicData_representation</dref> 
  5294.    --  <dref name="Data_Tags">SubscriptionBuiltinTopicData_data_tags</dref> 
  5295.    --  <dref name="Type_Code">SubscriptionBuiltinTopicData_type_code</dref> 
  5296.    --  <dref name="Subscriber_Key">SubscriptionBuiltinTopicData_subscriber_key</dref> 
  5297.    --  <dref name="Property">SubscriptionBuiltinTopicData_property</dref> 
  5298.    --  <dref name="Unicast_Locators">SubscriptionBuiltinTopicData_unicast_locators</dref> 
  5299.    --  <dref name="Multicast_Locators">SubscriptionBuiltinTopicData_multicast_locators</dref> 
  5300.    --  <dref name="Content_Filter_Property">SubscriptionBuiltinTopicData_content_filter_property</dref> 
  5301.    --  <dref name="Virtual_Guid">SubscriptionBuiltinTopicData_virtual_guid</dref> 
  5302.    --  <dref name="Service">SubscriptionBuiltinTopicData_service</dref> 
  5303.    --  <dref name="Rtps_Protocol_Version">SubscriptionBuiltinTopicData_rtps_protocol_version</dref> 
  5304.    --  <dref name="Rtps_Vendor_Id">SubscriptionBuiltinTopicData_rtps_vendor_id</dref> 
  5305.    --  <dref name="Product_Version">SubscriptionBuiltinTopicData_product_version</dref> 
  5306.    --  <dref name="disable_positive_acks">SubscriptionBuiltinTopicData_disable_positive_acks</dref> 
  5307.    --  <dref internal="true" name="expects_inline_qos">SubscriptionBuiltinTopicData_expects_inline_qos</dref> 
  5308.    --  <dref internal="true" name="receive_queue_size">SubscriptionBuiltinTopicData_receive_queue_size</dref> 
  5309.    --  <dref internal="true" name="is_compatible">SubscriptionBuiltinTopicData_is_compatible</dref> 
  5310.    --  <dref name="subscription_name">SubscriptionBuiltinTopicData_subscription_name</dref> 
  5311.    function Hash (Item : DDS.SubscriptionBuiltinTopicData) return Ada.Containers.Hash_Type; 
  5312.  
  5313.    type SubscriptionBuiltinTopicData_Access is access all SubscriptionBuiltinTopicData; 
  5314.  
  5315.    --     SubscriptionBuiltinTopicData_INITIALIZER : constant SubscriptionBuiltinTopicData := 
  5316.    --                                                  (Key                     => BuiltinTopicKey_T_INITIALIZER, 
  5317.    --                                                   Participant_Key         => BuiltinTopicKey_T_INITIALIZER, 
  5318.    --                                                   Topic_Name              => NULL_STRING, 
  5319.    --                                                   Type_Name               => NULL_STRING, 
  5320.    --                                                   Durability              => DURABILITY_QOS_POLICY_DEFAULT, 
  5321.    --                                                   Deadline                => DEADLINE_QOS_POLICY_DEFAULT, 
  5322.    --                                                   Latency_Budget          => LATENCY_BUDGET_QOS_POLICY_DEFAULT, 
  5323.    --                                                   Liveliness              => LIVELINESS_QOS_POLICY_DEFAULT, 
  5324.    --                                                   Reliability             => RELIABILITY_QOS_POLICY_DEFAULT, 
  5325.    --                                                   Ownership               => OWNERSHIP_QOS_POLICY_DEFAULT, 
  5326.    --                                                   Destination_Order       => DESTINATION_ORDER_QOS_POLICY_DEFAULT, 
  5327.    --                                                   User_Data               => USER_DATA_QOS_POLICY_DEFAULT, 
  5328.    --                                                   Time_Based_Filter       => TIME_BASED_FILTER_QOS_POLICY_DEFAULT, 
  5329.    --                                                   Presentation            => PRESENTATION_QOS_POLICY_DEFAULT, 
  5330.    --                                                   Partition               => PARTITION_QOS_POLICY_DEFAULT, 
  5331.    --                                                   Topic_Data              => TOPIC_DATA_QOS_POLICY_DEFAULT, 
  5332.    --                                                   Group_Data              => GROUP_DATA_QOS_POLICY_DEFAULT, 
  5333.    --                                                   Type_Consistency        => TYPE_CONSISTENCY_ENFORCEMENT_QOS_POLICY_DEFAULT, 
  5334.    --                                                   Representation          => DataRepresentationQosPolicy_INITIALIZER, 
  5335.    --                                                   Data_Tags               => DataTagQosPolicy_INITIALIZER, 
  5336.    --                                                   --  extensions 
  5337.    --                                                   Type_Code               => null, 
  5338.    --                                                   Subscriber_Key          => BuiltinTopicKey_T_INITIALIZER, 
  5339.    --                                                   Property                => PROPERTY_QOS_POLICY_DEFAULT, 
  5340.    --                                                   Unicast_Locators        => LocatorSeq.DEFAULT_SEQUENCE, 
  5341.    --                                                   Multicast_Locators      => LocatorSeq.DEFAULT_SEQUENCE, 
  5342.    --                                                   Content_Filter_Property => CONTENT_FILTER_PROPERTY_DEFAULT, 
  5343.    --                                                   Virtual_Guid            =>  (Value => (others => 0)), 
  5344.    --                                                   Service                 => SERVICE_QOS_POLICY_DEFAULT, 
  5345.    --                                                   Rtps_Protocol_Version   => PROTOCOL_VERSION_DEFAULT, 
  5346.    --                                                   Rtps_Vendor_Id          => VENDOR_ID_DEFAULT, 
  5347.    --                                                   Product_Version         => PRODUCTVERSION_UNKNOWN, 
  5348.    --                                                   disable_positive_acks   => False, 
  5349.    --                                                   expects_inline_qos      => False, 
  5350.    --                                                   receive_queue_size      => 0, 
  5351.    --                                                   is_incompatible         => False); 
  5352.  
  5353.    type SubscriptionBuiltinTopicData_Array is array (Natural range <>) of aliased SubscriptionBuiltinTopicData; 
  5354.    pragma Convention (C, SubscriptionBuiltinTopicData_Array); 
  5355.  
  5356.    function SubscriptionBuiltinTopicData_Get_TypeCode return Standard.DDS.TypeCode_Access; 
  5357.    pragma Import (C, SubscriptionBuiltinTopicData_Get_TypeCode, "DDS_SubscriptionBuiltinTopicData_get_typecode"); 
  5358.  
  5359.    procedure Initialize (This : in out SubscriptionBuiltinTopicData); 
  5360.    procedure Finalize (This : in out SubscriptionBuiltinTopicData); 
  5361.    procedure Copy (Dst : in out SubscriptionBuiltinTopicData; 
  5362.                    Src : in SubscriptionBuiltinTopicData); 
  5363.  
  5364.    package SubscriptionBuiltinTopicData_Seq is new DDS_Support.Sequences_Generic 
  5365.      (Element        => DDS.SubscriptionBuiltinTopicData, 
  5366.       Element_Access => DDS.SubscriptionBuiltinTopicData_Access, 
  5367.       Index_Type     => Natural, 
  5368.       First_Element  => 1, 
  5369.       Element_Array  => DDS.SubscriptionBuiltinTopicData_Array); 
  5370.    --  <dref>SubscriptionBuiltinTopicDataSeq</dref> 
  5371.  
  5372.    -- 
  5373.    --  dds/dds_c_topic.h 
  5374.    -- 
  5375.  
  5376.    type KeyHash_Value_Array is array (0 .. 15) of aliased DDS.Octet; 
  5377.  
  5378.    type KeyHash_T is record 
  5379.       Value  : aliased KeyHash_Value_Array := (others => 0); 
  5380.       Length : aliased DDS.Unsigned_Long := 0; 
  5381.    end record with 
  5382.      Convention => C; 
  5383.  
  5384.    --  ---------------------------------------------------------- 
  5385.    --                  InconsistentTopicStatus 
  5386.    --  ---------------------------------------------------------- 
  5387.  
  5388.    type InconsistentTopicStatus is record 
  5389.       Total_Count        : aliased Long := 0; 
  5390.       Total_Count_Change : aliased Long := 0; 
  5391.    end record with Convention => C; 
  5392.    pragma Compile_Time_Error (InconsistentTopicStatus'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_topic_h.DDS_InconsistentTopicStatus'Size, "InconsistentTopicStatus size missmatch."); 
  5393.  
  5394.    --  <dref>InconsistentTopicStatus</dref> 
  5395.    --  <dref name="Total_Count">InconsistentTopicStatus_total_count</dref> 
  5396.    --  <dref name="Total_Count_Change">InconsistentTopicStatus_total_count_change</dref> 
  5397.  
  5398.    InconsistentTopicStatus_INITIALIZER : constant InconsistentTopicStatus := (others => <>); 
  5399.  
  5400.    --  ---------------------------------------------------------- 
  5401.    --                  TopicQos 
  5402.    --  ---------------------------------------------------------- 
  5403.  
  5404.    type TopicQos is new Ada.Finalization.Limited_Controlled with record 
  5405.       Topic_Data         : aliased TopicDataQosPolicy; 
  5406.       Durability         : aliased DurabilityQosPolicy; 
  5407.       Durability_Service : aliased DurabilityServiceQosPolicy; 
  5408.       Deadline           : aliased DeadlineQosPolicy; 
  5409.       Latency_Budget     : aliased LatencyBudgetQosPolicy; 
  5410.       Liveliness         : aliased LivelinessQosPolicy; 
  5411.       Reliability        : aliased ReliabilityQosPolicy; 
  5412.       Destination_Order  : aliased DestinationOrderQosPolicy; 
  5413.       History            : aliased HistoryQosPolicy; 
  5414.       Resource_Limits    : aliased ResourceLimitsQosPolicy; 
  5415.       Transport_Priority : aliased TransportPriorityQosPolicy; 
  5416.       Lifespan           : aliased LifespanQosPolicy; 
  5417.       Ownership          : aliased OwnershipQosPolicy; 
  5418.       Representation     : aliased DataRepresentationQosPolicy; 
  5419.       -- --- Extensions: ---------------------------------------------------- 
  5420.       Protocol           : aliased TopicProtocolQosPolicy; 
  5421.    end record with Convention => C; 
  5422.    --  <dref>TopicQos</dref> 
  5423.    --  <dref name="Topic_Data">TopicQos_topic_data</dref> 
  5424.    --  <dref name="Durability">TopicQos_durability</dref> 
  5425.    --  <dref name="Durability_Service">TopicQos_durability_service</dref> 
  5426.    --  <dref name="Deadline">TopicQos_deadline</dref> 
  5427.    --  <dref name="Latency_Budget">TopicQos_latency_budget</dref> 
  5428.    --  <dref name="Liveliness">TopicQos_liveliness</dref> 
  5429.    --  <dref name="Reliability">TopicQos_reliability</dref> 
  5430.    --  <dref name="Destination_Order">TopicQos_destination_order</dref> 
  5431.    --  <dref name="History">TopicQos_history</dref> 
  5432.    --  <dref name="Resource_Limits">TopicQos_resource_limits</dref> 
  5433.    --  <dref name="Transport_Priority">TopicQos_transport_priority</dref> 
  5434.    --  <dref name="Lifespan">TopicQos_lifespan</dref> 
  5435.    --  <dref name="Ownership">TopicQos_ownership</dref> 
  5436.    --  <dref name="Representation">TopicQos_representation</dref> 
  5437.    --  <dref internal="true" name="Protocol">TopicQos_protocol</dref> 
  5438.  
  5439.    type TopicQos_Access is access TopicQos; 
  5440.  
  5441.    --  <dref>TopicQos_initialize</dref> 
  5442.    procedure Initialize 
  5443.      (Self : in out TopicQos); 
  5444.  
  5445.    --  <dref>TopicQos_finalize</dref> 
  5446.    procedure Finalize 
  5447.      (Self : in out TopicQos); 
  5448.  
  5449.    --  <dref>TopicQos_copy</dref> 
  5450.    procedure Copy 
  5451.      (Target : in out TopicQos; 
  5452.       Source : in TopicQos); 
  5453.  
  5454.    -- 
  5455.    --  dds/dds_c_publication.h 
  5456.    -- 
  5457.  
  5458.    --  ---------------------------------------------------------- 
  5459.    --                  OfferedDeadlineMissedStatus 
  5460.    --  ---------------------------------------------------------- 
  5461.  
  5462.    type OfferedDeadlineMissedStatus is record 
  5463.       Total_Count          : aliased Long := 0; 
  5464.       Total_Count_Change   : aliased Long := 0; 
  5465.       Last_Instance_Handle : aliased InstanceHandle_T := Null_InstanceHandle_T; 
  5466.    end record with Convention => C; 
  5467.    pragma Compile_Time_Error (OfferedDeadlineMissedStatus'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_publication_h.DDS_OfferedDeadlineMissedStatus'Size, "OfferedDeadlineMissedStatus size missmatch."); 
  5468.  
  5469.    --  <dref>OfferedDeadlineMissedStatus</dref> 
  5470.    --  <dref name="Total_Count">OfferedDeadlineMissedStatus_total_count</dref> 
  5471.    --  <dref name="Total_Count_Change">OfferedDeadlineMissedStatus_total_count_change</dref> 
  5472.    --  <dref name="Last_Instance_Handle">OfferedDeadlineMissedStatus_last_instance_handle</dref> 
  5473.  
  5474.  
  5475.    OfferedDeadlineMissedStatus_INITIALIZER : constant OfferedDeadlineMissedStatus := (others => <>); 
  5476.  
  5477.    --  ---------------------------------------------------------- 
  5478.    --                  LivelinessLostStatus 
  5479.    --  ---------------------------------------------------------- 
  5480.  
  5481.    type LivelinessLostStatus is record 
  5482.       Total_Count        : aliased Long := 0; 
  5483.       Total_Count_Change : aliased Long := 0; 
  5484.    end record with Convention => C; 
  5485.    pragma Compile_Time_Error (LivelinessLostStatus'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_publication_h.DDS_LivelinessLostStatus'Size, "LivelinessLostStatus size missmatch."); 
  5486.  
  5487.    --  <dref>LivelinessLostStatus</dref> 
  5488.    --  <dref name="Total_Count">LivelinessLostStatus_total_count</dref> 
  5489.    --  <dref name="Total_Count_Change">LivelinessLostStatus_total_count_change</dref> 
  5490.  
  5491.    LivelinessLostStatus_INITIALIZER : constant LivelinessLostStatus := (others => <>); 
  5492.  
  5493.    --  ---------------------------------------------------------- 
  5494.    --                  OfferedIncompatibleQosStatus 
  5495.    --  ---------------------------------------------------------- 
  5496.  
  5497.    type OfferedIncompatibleQosStatus is record 
  5498.       Total_Count        : aliased Long := 0; 
  5499.       Total_Count_Change : aliased Long := 0; 
  5500.       Last_Policy_Id     : aliased QosPolicyId_T := INVALID_QOS_POLICY_ID; 
  5501.       Policies           : aliased QosPolicyCount_Seq.Sequence; 
  5502.    end record with Convention => C; 
  5503.    pragma Compile_Time_Error (OfferedIncompatibleQosStatus'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_publication_h.DDS_OfferedIncompatibleQosStatus'Size, "OfferedIncompatibleQosStatus size missmatch."); 
  5504.  
  5505.    --  <dref>OfferedIncompatibleQosStatus</dref> 
  5506.    --  <dref name="Total_Count">OfferedIncompatibleQosStatus_total_count</dref> 
  5507.    --  <dref name="Total_Count_Change">OfferedIncompatibleQosStatus_total_count_change</dref> 
  5508.    --  <dref name="Last_Policy_Id">OfferedIncompatibleQosStatus_last_policy_id</dref> 
  5509.    --  <dref name="Policies">OfferedIncompatibleQosStatus_policies</dref> 
  5510.  
  5511.  
  5512.    type OfferedIncompatibleQosStatus_Access is access all OfferedIncompatibleQosStatus; 
  5513.  
  5514.    OfferedIncompatibleQosStatus_INITIALIZER : constant OfferedIncompatibleQosStatus := (others => <>); 
  5515.  
  5516.    --  ---------------------------------------------------------- 
  5517.    --                  PublicationMatchedStatus 
  5518.    --  ---------------------------------------------------------- 
  5519.  
  5520.    type PublicationMatchedStatus is record 
  5521.       Total_Count              : aliased Long := 0; 
  5522.       Total_Count_Change       : aliased Long := 0; 
  5523.       Current_Count            : aliased Long := 0; 
  5524.       Current_Count_Peak       : aliased Long := 0; 
  5525.       Current_Count_Change     : aliased Long := 0; 
  5526.       Last_Subscription_Handle : aliased InstanceHandle_T := Null_InstanceHandle_T; 
  5527.    end record with Convention => C; 
  5528.    pragma Compile_Time_Error (PublicationMatchedStatus'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_publication_h.DDS_PublicationMatchedStatus'Size, "PublicationMatchedStatus size missmatch."); 
  5529.  
  5530.    --  <dref>PublicationMatchedStatus</dref> 
  5531.    --  <dref name="Total_Count">PublicationMatchedStatus_total_count</dref> 
  5532.    --  <dref name="Total_Count_Change">PublicationMatchedStatus_total_count_change</dref> 
  5533.    --  <dref name="Current_Count">PublicationMatchedStatus_current_count</dref> 
  5534.    --  <dref name="Current_Count_Peak">PublicationMatchedStatus_current_count_peak</dref> 
  5535.    --  <dref name="Current_Count_Change">PublicationMatchedStatus_current_count_change</dref> 
  5536.    --  <dref name="Last_Subscription_Handle">PublicationMatchedStatus_last_subscription_handle</dref> 
  5537.  
  5538.  
  5539.    PublicationMatchedStatus_INITIALIZER : constant PublicationMatchedStatus := (others => <>); 
  5540.  
  5541.    --  ---------------------------------------------------------- 
  5542.    --                  ReliableWriterCacheEventCount 
  5543.    --  ---------------------------------------------------------- 
  5544.  
  5545.    type ReliableWriterCacheEventCount is record 
  5546.       Total_Count        : aliased Long := 0; 
  5547.       Total_Count_Change : aliased Long := 0; 
  5548.    end record with Convention => C; 
  5549.    pragma Compile_Time_Error (ReliableWriterCacheEventCount'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_publication_h.DDS_ReliableWriterCacheEventCount'Size, "ReliableWriterCacheEventCount size missmatch."); 
  5550.  
  5551.    --  <dref>ReliableWriterCacheEventCount</dref> 
  5552.    --  <dref name="Total_Count">ReliableWriterCacheEventCount_total_count</dref> 
  5553.    --  <dref name="Total_Count_Change">ReliableWriterCacheEventCount_total_count_change</dref> 
  5554.  
  5555.  
  5556.    ReliableWriterCacheEventCount_INITIALIZER : constant ReliableWriterCacheEventCount := (others => <>); 
  5557.  
  5558.    --  ---------------------------------------------------------- 
  5559.    --                  ReliableWriterCacheChangedStatus 
  5560.    --  ---------------------------------------------------------- 
  5561.  
  5562.    type ReliableWriterCacheChangedStatus is record 
  5563.       Empty_Reliable_Writer_Cache          : aliased ReliableWriterCacheEventCount; 
  5564.       Full_Reliable_Writer_Cache           : aliased ReliableWriterCacheEventCount; 
  5565.       Low_Watermark_Reliable_Writer_Cache  : aliased ReliableWriterCacheEventCount; 
  5566.       High_Watermark_Reliable_Writer_Cache : aliased ReliableWriterCacheEventCount; 
  5567.       Unacknowledged_Sample_Count          : aliased Long := 0; 
  5568.       Unacknowledged_Sample_Count_Peak     : aliased Long := 0; 
  5569.       Replaced_Unacknowledged_Sample_Count : aliased Long_Long := 0; 
  5570.    end record with Convention => C; 
  5571.    pragma Compile_Time_Error (ReliableWriterCacheChangedStatus'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_publication_h.DDS_ReliableWriterCacheChangedStatus'Size, "ReliableWriterCacheChangedStatus size missmatch."); 
  5572.  
  5573.    --  <dref>ReliableWriterCacheChangedStatus</dref> 
  5574.    --  <dref name="Empty_Reliable_Writer_Cache">ReliableWriterCacheChangedStatus_empty_reliable_writer_cache</dref> 
  5575.    --  <dref name="Full_Reliable_Writer_Cache">ReliableWriterCacheChangedStatus_full_reliable_writer_cache</dref> 
  5576.    --  <dref name="Low_Watermark_Reliable_Writer_Cache">ReliableWriterCacheChangedStatus_low_watermark_reliable_writer_cache</dref> 
  5577.    --  <dref name="High_Watermark_Reliable_Writer_Cache">ReliableWriterCacheChangedStatus_high_watermark_reliable_writer_cache</dref> 
  5578.    --  <dref name="Unacknowledged_Sample_Count">ReliableWriterCacheChangedStatus_unacknowledged_sample_count</dref> 
  5579.    --  <dref name="Unacknowledged_Sample_Count_peak">ReliableWriterCacheChangedStatus_unacknowledged_sample_count_peak</dref> 
  5580.    --  <dref name="Replaced_Unacknowledged_Sample_Count">ReliableWriterCacheChangedStatus_replaced_unacknowledged_sample_count</dref> 
  5581.  
  5582.  
  5583.    ReliableWriterCacheChangedStatus_INITIALIZER : constant ReliableWriterCacheChangedStatus := (others => <>); 
  5584.  
  5585.    --  ---------------------------------------------------------- 
  5586.    --                  ReliableReaderActivityChangedStatus 
  5587.    --  ---------------------------------------------------------- 
  5588.  
  5589.    type ReliableReaderActivityChangedStatus is record 
  5590.       Active_Count          : aliased Long := 0; 
  5591.       Inactive_Count        : aliased Long := 0; 
  5592.       Active_Count_Change   : aliased Long := 0; 
  5593.       Inactive_Count_Change : aliased Long := 0; 
  5594.       Last_Instance_Handle  : aliased InstanceHandle_T := Null_InstanceHandle_T; 
  5595.    end record with Convention => C; 
  5596.    pragma Compile_Time_Error (ReliableReaderActivityChangedStatus'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_publication_h.DDS_ReliableReaderActivityChangedStatus'Size, "ReliableReaderActivityChangedStatus size missmatch."); 
  5597.  
  5598.    --  <dref>ReliableReaderActivityChangedStatus</dref> 
  5599.    --  <dref name="Acitve_Count">ReliableReaderActivityChangedStatus_active_count</dref> 
  5600.    --  <dref name="Inacitve_Count">ReliableReaderActivityChangedStatus_inactive_count</dref> 
  5601.    --  <dref name="Active_Count_change">ReliableReaderActivityChangedStatus_active_count_change</dref> 
  5602.    --  <dref name="Inactive_Count_change">ReliableReaderActivityChangedStatus_inactive_count_change</dref> 
  5603.    --  <dref name="Last_Instance_Handle">ReliableReaderActivityChangedStatus_last_instance_handle</dref> 
  5604.  
  5605.  
  5606.    ReliableReaderActivityChangedStatus_INITIALIZER : constant  ReliableReaderActivityChangedStatus := (others => <>); 
  5607.  
  5608.    --  ---------------------------------------------------------- 
  5609.    --                  AcknowledgmentInfo 
  5610.    --  ---------------------------------------------------------- 
  5611.  
  5612.    type AcknowledgmentInfo is record 
  5613.       Subscription_Handle : aliased InstanceHandle_T := Null_InstanceHandle_T; 
  5614.       Sample_Identity     : aliased SampleIdentity_T; 
  5615.       Cookie              : aliased Cookie_T; 
  5616.       Valid_Response_Data : aliased Boolean := False; 
  5617.       Response_Data       : aliased AckResponseData_T; 
  5618.    end record with Convention => C; 
  5619.    pragma Compile_Time_Error (AcknowledgmentInfo'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_publication_h.DDS_AcknowledgmentInfo'Size, "AcknowledgmentInfo size missmatch."); 
  5620.  
  5621.    --  <dref>AcknowledgmentInfo</dref> 
  5622.    --  <dref name="Subscription_Handle">AcknowledgmentInfo_subscription_handle</dref> 
  5623.    --  <dref name="Sample_Identity">AcknowledgmentInfo_sample_identity</dref> 
  5624.    --  <dref name="Cookie">AcknowledgmentInfo_cookie</dref> 
  5625.    --  <dref name="Valid_Response_Data">AcknowledgmentInfo_valid_response_data</dref> 
  5626.    --  <dref name="Response_Data">AcknowledgmentInfo_response_data</dref> 
  5627.  
  5628.    type AcknowledgmentInfo_Access is access all AcknowledgmentInfo; 
  5629.  
  5630.    type ServiceRequestAcceptedStatus is new RTIDDS.Low_Level.ndds_dds_c_dds_c_publication_h.DDS_ServiceRequestAcceptedStatus; 
  5631.    type ServiceRequestAcceptedStatus_Access is access all  ServiceRequestAcceptedStatus with Storage_Size => 0; 
  5632.  
  5633.    --  ---------------------------------------------------------- 
  5634.    --                  DataWriterQos 
  5635.    --  ---------------------------------------------------------- 
  5636.  
  5637.    type DataWriterQos is new Ada.Finalization.Limited_Controlled with record 
  5638.    --- 
  5639.       Durability             : aliased DurabilityQosPolicy; 
  5640.       Durability_Service     : aliased DurabilityServiceQosPolicy; 
  5641.       Deadline               : aliased DeadlineQosPolicy; 
  5642.       Latency_Budget         : aliased LatencyBudgetQosPolicy; 
  5643.       Liveliness             : aliased LivelinessQosPolicy; 
  5644.       Reliability            : aliased ReliabilityQosPolicy; 
  5645.       Destination_Order      : aliased DestinationOrderQosPolicy; 
  5646.       History                : aliased HistoryQosPolicy; 
  5647.       Resource_Limits        : aliased ResourceLimitsQosPolicy; 
  5648.       Transport_Priority     : aliased TransportPriorityQosPolicy; 
  5649.       Lifespan               : aliased LifespanQosPolicy; 
  5650.       User_Data              : aliased UserDataQosPolicy; 
  5651.       Ownership              : aliased OwnershipQosPolicy; 
  5652.       Ownership_Strength     : aliased OwnershipStrengthQosPolicy; 
  5653.       Writer_Data_Lifecycle  : aliased WriterDataLifecycleQosPolicy; 
  5654.       Representation         : aliased DataRepresentationQosPolicy; 
  5655.       Data_Tags              : aliased DataTagQosPolicy; 
  5656.       -- --- Extensions: ---------------------------------------------------- 
  5657.       Writer_Resource_Limits : aliased DataWriterResourceLimitsQosPolicy; 
  5658.       Protocol               : aliased DataWriterProtocolQosPolicy; 
  5659.       Transport_Selection    : aliased TransportSelectionQosPolicy; 
  5660.       Unicast                : aliased TransportUnicastQosPolicy; 
  5661.       Encapsulation          : aliased TransportEncapsulationQosPolicy; 
  5662.       Publish_Mode           : aliased PublishModeQosPolicy; 
  5663.       Property               : aliased PropertyQosPolicy; 
  5664.       Service                : aliased ServiceQosPolicy; 
  5665.       Batch                  : aliased BatchQosPolicy; 
  5666.       Multi_Channel          : aliased MultiChannelQosPolicy; 
  5667.       Availability           : aliased AvailabilityQosPolicy; 
  5668.       Publication_Name       : aliased EntityNameQosPolicy; 
  5669.       Topic_Query_Dispatch   : aliased TopicQueryDispatchQosPolicy; 
  5670.       Transfer_Mode          : aliased DataWriterTransferModeQosPolicy; 
  5671.       Type_Support           : aliased TypeSupportQosPolicy; 
  5672.    end record; 
  5673.    --  <dref>DataWriterQos</dref> 
  5674.    --  <dref name="Durability">DataWriterQos_durability</dref> 
  5675.    --  <dref name="Durability_Service">DataWriterQos_durability_service</dref> 
  5676.    --  <dref name="Deadline">DataWriterQos_deadline</dref> 
  5677.    --  <dref name="Latency_Budget">DataWriterQos_latency_budget</dref> 
  5678.    --  <dref name="Liveliness">DataWriterQos_liveliness</dref> 
  5679.    --  <dref name="Reliability">DataWriterQos_reliability</dref> 
  5680.    --  <dref name="Destination_Order">DataWriterQos_destination_order</dref> 
  5681.    --  <dref name="History">DataWriterQos_history</dref> 
  5682.    --  <dref name="Resource_Limits">DataWriterQos_resource_limits</dref> 
  5683.    --  <dref name="Transport_Priority">DataWriterQos_transport_priority</dref> 
  5684.    --  <dref name="Lifespan">DataWriterQos_lifespan</dref> 
  5685.    --  <dref name="User_Data">DataWriterQos_user_data</dref> 
  5686.    --  <dref name="Ownership">DataWriterQos_ownership</dref> 
  5687.    --  <dref name="Ownership_Strength">DataWriterQos_ownership_strength</dref> 
  5688.    --  <dref name="Writer_Data_Lifecycle">DataWriterQos_writer_data_lifecycle</dref> 
  5689.    --  <dref name="Representation">DataWriterQos_representation</dref> 
  5690.    --  <dref name="Data_Tags">DataWriterQos_data_tags</dref> 
  5691.    --  <dref name="Writer_Resource_Limits">DataWriterQos_writer_resource_limits</dref> 
  5692.    --  <dref name="Protocol">DataWriterQos_protocol</dref> 
  5693.    --  <dref name="Transport_Selection">DataWriterQos_transport_selection</dref> 
  5694.    --  <dref name="Unicast">DataWriterQos_unicast</dref> 
  5695.    --  <dref name="Encapsulation">DataWriterQos_encapsulation</dref> 
  5696.    --  <dref name="Publish_Mode">DataWriterQos_publish_mode</dref> 
  5697.    --  <dref name="Property">DataWriterQos_property</dref> 
  5698.    --  <dref name="Service">DataWriterQos_service</dref> 
  5699.    --  <dref name="batch">DataWriterQos_batch</dref> 
  5700.    --  <dref name="multi_channel">DataWriterQos_multi_channel</dref> 
  5701.    --  <dref name="Availability">DataWriterQos_availability</dref> 
  5702.    --  <dref name="publication_name">DataWriterQos_publication_name</dref> 
  5703.    --  <dref name="Topic_Query_Dispatch">DataWriterQos_topic_query_dispatch</dref> 
  5704.    --  <dref name="Transfer_Mode">DataWriterQos_transfer_mode</dref> 
  5705.    --  <dref name="Type_Support">DataWriterQos_type_support</dref> 
  5706.  
  5707.    pragma Convention (C, DataWriterQos); 
  5708.  
  5709.    --  <dref>DataWriterQos_initialize</dref> 
  5710.    procedure Initialize 
  5711.      (Self : in out DataWriterQos); 
  5712.  
  5713.    --  <dref>DataWriterQos_finalize</dref> 
  5714.    procedure Finalize 
  5715.      (Self : in out DataWriterQos); 
  5716.  
  5717.    --  <dref>DataWriterQos_copy</dref> 
  5718.    procedure Copy 
  5719.      (Target : out DataWriterQos; 
  5720.       Source : in DataWriterQos); 
  5721.  
  5722.    --  ---------------------------------------------------------- 
  5723.    --                  PublisherQos 
  5724.    --  ---------------------------------------------------------- 
  5725.  
  5726.    type PublisherQos is  new Ada.Finalization.Limited_Controlled with record 
  5727.       Presentation           : PresentationQosPolicy; 
  5728.       Partition              : PartitionQosPolicy; 
  5729.       Group_Data             : GroupDataQosPolicy; 
  5730.       Entity_Factory         : EntityFactoryQosPolicy; 
  5731.       -- --- Extensions: ---------------------------------------------------- 
  5732.       Asynchronous_Publisher : aliased AsynchronousPublisherQosPolicy; 
  5733.       Exclusive_Area         : aliased ExclusiveAreaQosPolicy; 
  5734.       Protocol               : aliased PublisherProtocolQosPolicy; 
  5735.       Publisher_Name         : aliased EntityNameQosPolicy; 
  5736.    end record; 
  5737.    --  <dref>PublisherQos</dref> 
  5738.    --  <dref name="Presentation">PublisherQos_presentation</dref> 
  5739.    --  <dref name="Partition">PublisherQos_partition</dref> 
  5740.    --  <dref name="Group_Data">PublisherQos_group_data</dref> 
  5741.    --  <dref name="Entity_Factory">PublisherQos_entity_factory</dref> 
  5742.    --  <dref name="Asynchronous_Publisher">PublisherQos_asynchronous_publisher</dref> 
  5743.    --  <dref internal="True" name="Exclusive_Area">PublisherQos_exclusive_area</dref> 
  5744.    --  <dref internal="True" name="Protocol">PublisherQos_protocol</dref> 
  5745.    --  <dref name="publisher_name">PublisherQos_publisher_name</dref> 
  5746.  
  5747.    pragma Convention (C, PublisherQos); 
  5748.    type PublisherQos_Access is access PublisherQos; 
  5749.  
  5750.    --  <dref>PublisherQos_initialize</dref> 
  5751.    procedure Initialize 
  5752.      (Self : in out PublisherQos); 
  5753.  
  5754.    --  <dref>PublisherQos_finalize</dref> 
  5755.    procedure Finalize 
  5756.      (Self : in out PublisherQos); 
  5757.  
  5758.    --  <dref>PublisherQos_copy</dref> 
  5759.    procedure Copy 
  5760.      (Target : out PublisherQos; 
  5761.       Source : in  PublisherQos); 
  5762.  
  5763.    --  ---------------------------------------------------------- 
  5764.    --                  DataWriterCacheStatus 
  5765.    --  ---------------------------------------------------------- 
  5766.  
  5767.    type DataWriterCacheStatus is record 
  5768.       Sample_Count_Peak                : aliased Long_Long := 0; 
  5769.       Sample_Count                     : aliased Long_Long := 0; 
  5770.       Alive_Instance_Count             : aliased Long_Long := 0; 
  5771.       Alive_Instance_Count_Peak        : aliased Long_Long := 0; 
  5772.       Disposed_Instance_Count          : aliased Long_Long := 0; 
  5773.       Disposed_Instance_Count_Peak     : aliased Long_Long := 0; 
  5774.       Unregistered_Instance_Count      : aliased Long_Long := 0; 
  5775.       Unregistered_Instance_Count_Peak : aliased Long_Long := 0; 
  5776.    end record with Convention => C; 
  5777.    pragma Compile_Time_Error (DataWriterCacheStatus'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_publication_h.DDS_DataWriterCacheStatus'Size, "DataWriterCacheStatus size missmatch."); 
  5778.  
  5779.    --  <dref>DataWriterCacheStatus</dref> 
  5780.    --  <dref name="sample_count_peak">DataWriterCacheStatus_sample_count_peak</dref> 
  5781.    --  <dref name="sample_count">DataWriterCacheStatus_sample_count</dref> 
  5782.    --  <dref name="alive_instance_count">DataWriterCacheStatus_alive_instance_count</dref> 
  5783.    --  <dref name="alive_instance_count_peak">DataWriterCacheStatus_alive_instance_count_peak</dref> 
  5784.    --  <dref name="disposed_instance_count">DataWriterCacheStatus_disposed_instance_count</dref> 
  5785.    --  <dref name="disposed_instance_count_peak">DataWriterCacheStatus_disposed_instance_count_peak</dref> 
  5786.    --  <dref name="unregistered_instance_count">DataWriterCacheStatus_unregistered_instance_count</dref> 
  5787.    --  <dref name="unregistered_instance_count_peak">DataWriterCacheStatus_unregistered_instance_count_peak</dref> 
  5788.  
  5789.    --  NOTE: filtered_sample_* fields have been removed from the documentation (see CORE-5977) 
  5790.    --  and may eventually be removed from this structure (CORE-5953) 
  5791.    type DataWriterProtocolStatus is record 
  5792.       Pushed_Sample_Count                                  : aliased DDS.Long_Long := 0; 
  5793.       Pushed_Sample_Count_Change                           : aliased DDS.Long_Long := 0; 
  5794.       Pushed_Sample_Bytes                                  : aliased DDS.Long_Long := 0; 
  5795.       Pushed_Sample_Bytes_Change                           : aliased DDS.Long_Long := 0; 
  5796.       Filtered_Sample_Count                                : aliased DDS.Long_Long := 0; 
  5797.       Filtered_Sample_Count_Change                         : aliased DDS.Long_Long := 0; 
  5798.       Filtered_Sample_Bytes                                : aliased DDS.Long_Long := 0; 
  5799.       Filtered_Sample_Bytes_Change                         : aliased DDS.Long_Long := 0; 
  5800.       Sent_Heartbeat_Count                                 : aliased DDS.Long_Long := 0; 
  5801.       Sent_Heartbeat_Count_Change                          : aliased DDS.Long_Long := 0; 
  5802.       Sent_Heartbeat_Bytes                                 : aliased DDS.Long_Long := 0; 
  5803.       Sent_Heartbeat_Bytes_Change                          : aliased DDS.Long_Long := 0; 
  5804.       Pulled_Sample_Count                                  : aliased DDS.Long_Long := 0; 
  5805.       Pulled_Sample_Count_Change                           : aliased DDS.Long_Long := 0; 
  5806.       Pulled_Sample_Bytes                                  : aliased DDS.Long_Long := 0; 
  5807.       Pulled_Sample_Bytes_Change                           : aliased DDS.Long_Long := 0; 
  5808.       Received_Ack_Count                                   : aliased DDS.Long_Long := 0; 
  5809.       Received_Ack_Count_Change                            : aliased DDS.Long_Long := 0; 
  5810.       Received_Ack_Bytes                                   : aliased DDS.Long_Long := 0; 
  5811.       Received_Ack_Bytes_Change                            : aliased DDS.Long_Long := 0; 
  5812.       Received_Nack_Count                                  : aliased DDS.Long_Long := 0; 
  5813.       Received_Nack_Count_Change                           : aliased DDS.Long_Long := 0; 
  5814.       Received_Nack_Bytes                                  : aliased DDS.Long_Long := 0; 
  5815.       Received_Nack_Bytes_Change                           : aliased DDS.Long_Long := 0; 
  5816.       Sent_Gap_Count                                       : aliased DDS.Long_Long := 0; 
  5817.       Sent_Gap_Count_Change                                : aliased DDS.Long_Long := 0; 
  5818.       Sent_Gap_Bytes                                       : aliased DDS.Long_Long := 0; 
  5819.       Sent_Gap_Bytes_Change                                : aliased DDS.Long_Long := 0; 
  5820.       Rejected_Sample_Count                                : aliased DDS.Long_Long := 0; 
  5821.       Rejected_Sample_Count_Change                         : aliased DDS.Long_Long := 0; 
  5822.       Send_Window_Size                                     : aliased DDS.Long := 0; 
  5823.       First_Available_Sample_Sequence_Number               : aliased DDS.SequenceNumber_T; 
  5824.       Last_Available_Sample_Sequence_Number                : aliased DDS.SequenceNumber_T; 
  5825.       First_Unacknowledged_Sample_Sequence_Number          : aliased DDS.SequenceNumber_T; 
  5826.       First_Available_Sample_Virtual_Sequence_Number       : aliased DDS.SequenceNumber_T; 
  5827.       Last_Available_Sample_Virtual_Sequence_Number        : aliased DDS.SequenceNumber_T; 
  5828.       First_Unacknowledged_Sample_Virtual_Sequence_Number  : aliased DDS.SequenceNumber_T; 
  5829.       First_Unacknowledged_Sample_Subscription_Handle      : aliased DDS.InstanceHandle_T; 
  5830.       First_Unelapsed_Keep_Duration_Sample_Sequence_Number : aliased DDS.SequenceNumber_T; 
  5831.       Pushed_Fragment_Count                                : aliased DDS.Long_Long := 0; 
  5832.       Pushed_Fragment_Bytes                                : aliased DDS.Long_Long := 0; 
  5833.       Pulled_Fragment_Count                                : aliased DDS.Long_Long := 0; 
  5834.       Pulled_Fragment_Bytes                                : aliased DDS.Long_Long := 0; 
  5835.       Received_Nack_Fragment_Count                         : aliased DDS.Long_Long := 0; 
  5836.       Received_Nack_Fragment_Bytes                         : aliased DDS.Long_Long := 0; 
  5837.    end record; 
  5838.    --  <dref>DataWriterProtocolStatus</dref> 
  5839.    --  <dref name="pushed_sample_count">DataWriterProtocolStatus_pushed_sample_count</dref> 
  5840.    --  <dref name="pushed_sample_count_change">DataWriterProtocolStatus_pushed_sample_count_change</dref> 
  5841.    --  <dref name="pushed_sample_bytes">DataWriterProtocolStatus_pushed_sample_bytes</dref> 
  5842.    --  <dref name="pushed_sample_bytes_change">DataWriterProtocolStatus_pushed_sample_bytes_change</dref> 
  5843.    --  <dref name="sent_heartbeat_count">DataWriterProtocolStatus_sent_heartbeat_count</dref> 
  5844.    --  <dref name="sent_heartbeat_count_change">DataWriterProtocolStatus_sent_heartbeat_count_change</dref> 
  5845.    --  <dref name="sent_heartbeat_bytes">DataWriterProtocolStatus_sent_heartbeat_bytes</dref> 
  5846.    --  <dref name="sent_heartbeat_bytes_change">DataWriterProtocolStatus_sent_heartbeat_bytes_change</dref> 
  5847.    --  <dref name="pulled_sample_count">DataWriterProtocolStatus_pulled_sample_count</dref> 
  5848.    --  <dref name="pulled_sample_count_change">DataWriterProtocolStatus_pulled_sample_count_change</dref> 
  5849.    --  <dref name="pulled_sample_bytes">DataWriterProtocolStatus_pulled_sample_bytes</dref> 
  5850.    --  <dref name="pulled_sample_bytes_change">DataWriterProtocolStatus_pulled_sample_bytes_change</dref> 
  5851.    --  <dref name="received_ack_count">DataWriterProtocolStatus_received_ack_count</dref> 
  5852.    --  <dref name="received_ack_count_change">DataWriterProtocolStatus_received_ack_count_change</dref> 
  5853.    --  <dref name="received_ack_bytes">DataWriterProtocolStatus_received_ack_bytes</dref> 
  5854.    --  <dref name="received_ack_bytes_change">DataWriterProtocolStatus_received_ack_bytes_change</dref> 
  5855.    --  <dref name="received_nack_count">DataWriterProtocolStatus_received_nack_count</dref> 
  5856.    --  <dref name="received_nack_count_change">DataWriterProtocolStatus_received_nack_count_change</dref> 
  5857.    --  <dref name="received_nack_bytes">DataWriterProtocolStatus_received_nack_bytes</dref> 
  5858.    --  <dref name="received_nack_bytes_change">DataWriterProtocolStatus_received_nack_bytes_change</dref> 
  5859.    --  <dref name="sent_gap_count">DataWriterProtocolStatus_sent_gap_count</dref> 
  5860.    --  <dref name="sent_gap_count_change">DataWriterProtocolStatus_sent_gap_count_change</dref> 
  5861.    --  <dref name="sent_gap_bytes">DataWriterProtocolStatus_sent_gap_bytes</dref> 
  5862.    --  <dref name="sent_gap_bytes_change">DataWriterProtocolStatus_sent_gap_bytes_change</dref> 
  5863.    --  <dref name="rejected_sample_count">DataWriterProtocolStatus_rejected_sample_count</dref> 
  5864.    --  <dref name="rejected_sample_count_change">DataWriterProtocolStatus_rejected_sample_count_change</dref> 
  5865.    --  <dref name="send_window_size">DataWriterProtocolStatus_send_window_size</dref> 
  5866.    --  <dref name="first_available_sample_sequence_number">DataWriterProtocolStatus_first_available_sample_sequence_number</dref> 
  5867.    --  <dref name="last_available_sample_sequence_number">DataWriterProtocolStatus_last_available_sample_sequence_number</dref> 
  5868.    --  <dref name="first_unacknowledged_sample_sequence_number">DataWriterProtocolStatus_first_unacknowledged_sample_sequence_number</dref> 
  5869.    --  <dref name="first_available_sample_virtual_sequence_number">DataWriterProtocolStatus_first_available_sample_virtual_sequence_number</dref> 
  5870.    --  <dref name="last_available_sample_virtual_sequence_number">DataWriterProtocolStatus_last_available_sample_virtual_sequence_number</dref> 
  5871.    --  <dref name="first_unacknowledged_sample_virtual_sequence_number">DataWriterProtocolStatus_first_unacknowledged_sample_virtual_sequence_number</dref> 
  5872.    --  <dref name="first_unacknowledged_sample_subscription_handle">DataWriterProtocolStatus_first_unacknowledged_sample_subscription_handle</dref> 
  5873.    --  <dref name="first_unelapsed_keep_duration_sample_sequence_number">DataWriterProtocolStatus_first_unelapsed_keep_duration_sample_sequence_number</dref> 
  5874.    --  <dref name="pushed_fragment_count">DataWriterProtocolStatus_pushed_fragment_count</dref> 
  5875.    --  <dref name="pushed_fragment_bytes">DataWriterProtocolStatus_pushed_fragment_bytes</dref> 
  5876.    --  <dref name="pulled_fragment_count">DataWriterProtocolStatus_pulled_fragment_count</dref> 
  5877.    --  <dref name="pulled_fragment_bytes">DataWriterProtocolStatus_pulled_fragment_bytes</dref> 
  5878.    --  <dref name="received_nack_fragment_count">DataWriterProtocolStatus_received_nack_fragment_count</dref> 
  5879.    --  <dref name="received_nack_fragment_bytes">DataWriterProtocolStatus_received_nack_fragment_bytes</dref> 
  5880.  
  5881.    pragma Convention (C_Pass_By_Copy, DataWriterProtocolStatus); 
  5882.  
  5883.    -- 
  5884.    --  dds/dds_c_subscription.h 
  5885.    -- 
  5886.  
  5887.    --  ---------------------------------------------------------- 
  5888.    --                  RequestedDeadlineMissedStatus 
  5889.    --  ---------------------------------------------------------- 
  5890.  
  5891.    type RequestedDeadlineMissedStatus is record 
  5892.       Total_Count          : aliased Long := 0; 
  5893.       Total_Count_Change   : aliased Long := 0; 
  5894.       Last_Instance_Handle : aliased InstanceHandle_T := Null_InstanceHandle_T; 
  5895.    end record with Convention => C; 
  5896.    pragma Compile_Time_Error (RequestedDeadlineMissedStatus'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_subscription_h.DDS_RequestedDeadlineMissedStatus'Size, "RequestedDeadlineMissedStatus size missmatch."); 
  5897.  
  5898.    --  <dref>RequestedDeadlineMissedStatus</dref> 
  5899.    --  <dref name="Total_Count">RequestedDeadlineMissedStatus_total_count</dref> 
  5900.    --  <dref name="Total_Count_Change">RequestedDeadlineMissedStatus_total_count_change</dref> 
  5901.    --  <dref name="Last_Instance_Handle">RequestedDeadlineMissedStatus_last_instance_handle</dref> 
  5902.  
  5903.    RequestedDeadlineMissedStatus_INITIALIZER : constant RequestedDeadlineMissedStatus := (others => <>); 
  5904.  
  5905.  
  5906.    --  ---------------------------------------------------------- 
  5907.    --                  LivelinessChangedStatus 
  5908.    --  ---------------------------------------------------------- 
  5909.  
  5910.    type LivelinessChangedStatus is record 
  5911.       Alive_Count             : aliased Long := 0; 
  5912.       Not_Alive_Count         : aliased Long := 0; 
  5913.       Alive_Count_Change      : aliased Long := 0; 
  5914.       Not_Alive_Count_Change  : aliased Long := 0; 
  5915.       Last_Publication_Handle : aliased InstanceHandle_T := Null_InstanceHandle_T; 
  5916.    end record with Convention => C; 
  5917.    pragma Compile_Time_Error (LivelinessChangedStatus'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_subscription_h.DDS_LivelinessChangedStatus'Size, "LivelinessChangedStatus size missmatch."); 
  5918.  
  5919.    --  <dref>LivelinessChangedStatus</dref> 
  5920.    --  <dref name="Alive_Count">LivelinessChangedStatus_alive_count</dref> 
  5921.    --  <dref name="Not_Alive_Count">LivelinessChangedStatus_not_alive_count</dref> 
  5922.    --  <dref name="Alive_Count_Change">LivelinessChangedStatus_alive_count_change</dref> 
  5923.    --  <dref name="Not_Alive_Count_Change">LivelinessChangedStatus_not_alive_count_change</dref> 
  5924.    --  <dref name="Last_Publication_Handle">LivelinessChangedStatus_last_publication_handle</dref> 
  5925.  
  5926.    LivelinessChangedStatus_INITIALIZER : constant LivelinessChangedStatus := (others => <>); 
  5927.  
  5928.    --  ---------------------------------------------------------- 
  5929.    --                  RequestedIncompatibleQosStatus 
  5930.    --  ---------------------------------------------------------- 
  5931.  
  5932.    type RequestedIncompatibleQosStatus is record 
  5933.       Total_Count        : aliased Long := 0; 
  5934.       Total_Count_Change : aliased Long := 0; 
  5935.       Last_Policy_Id     : aliased QosPolicyId_T := INVALID_QOS_POLICY_ID; 
  5936.       Policies           : aliased QosPolicyCount_Seq.Sequence; 
  5937.    end record with Convention => C; 
  5938.    pragma Compile_Time_Error (RequestedIncompatibleQosStatus'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_subscription_h.DDS_RequestedIncompatibleQosStatus'Size, "RequestedIncompatibleQosStatus size missmatch."); 
  5939.  
  5940.    --  <dref>RequestedIncompatibleQosStatus</dref> 
  5941.    --  <dref name="Total_Count">RequestedIncompatibleQosStatus_total_count</dref> 
  5942.    --  <dref name="Total_Count_Change">RequestedIncompatibleQosStatus_total_count_change</dref> 
  5943.    --  <dref name="Last_Policy_Id">RequestedIncompatibleQosStatus_last_policy_id</dref> 
  5944.    --  <dref name="Policies">RequestedIncompatibleQosStatus_policies</dref> 
  5945.  
  5946.  
  5947.    type RequestedIncompatibleQosStatus_Access is access all RequestedIncompatibleQosStatus; 
  5948.  
  5949.    RequestedIncompatibleQosStatus_INITIALIZER : constant RequestedIncompatibleQosStatus := (others => <>); 
  5950.    --  ---------------------------------------------------------- 
  5951.    --                  SampleLostStatusKind 
  5952.    --  ---------------------------------------------------------- 
  5953.  
  5954.    type SampleLostStatusKind is new Unsigned_Long; 
  5955.    --  <dref>SampleLostStatusKind</dref> 
  5956.  
  5957.    NOT_LOST                                   : constant SampleLostStatusKind := 0; 
  5958.    --  <dref>SampleLostStatusKind_NOT_LOST</dref> 
  5959.  
  5960.    LOST_BY_WRITER                             : constant SampleLostStatusKind := 1; 
  5961.    --  <dref>SampleLostStatusKind_LOST_BY_WRITER</dref> 
  5962.  
  5963.    LOST_BY_INSTANCES_LIMIT                    : constant SampleLostStatusKind := 2; 
  5964.    --  <dref>SampleLostStatusKind_LOST_BY_INSTANCES_LIMIT</dref> 
  5965.  
  5966.    LOST_BY_REMOTE_WRITERS_PER_INSTANCE_LIMIT  : constant SampleLostStatusKind := 3; 
  5967.    --  <dref>SampleLostStatusKind_LOST_BY_REMOTE_WRITERS_PER_INSTANCE_LIMIT</dref> 
  5968.  
  5969.    LOST_BY_INCOMPLETE_COHERENT_SET            : constant SampleLostStatusKind := 4; 
  5970.    --  <dref>SampleLostStatusKind_LOST_BY_LARGE_COHERENT_SET</dref> 
  5971.  
  5972.    LOST_BY_LARGE_COHERENT_SET                 : constant SampleLostStatusKind := 5; 
  5973.    --  <dref>SampleLostStatusKind_LOST_BY_LARGE_COHERENT_SET</dref> 
  5974.  
  5975.    LOST_BY_SAMPLES_PER_REMOTE_WRITER_LIMIT    : constant SampleLostStatusKind := 6; 
  5976.    --  <dref>SampleLostStatusKind_LOST_BY_SAMPLES_PER_REMOTE_WRITER_LIMIT</dref> 
  5977.  
  5978.    LOST_BY_VIRTUAL_WRITERS_LIMIT              : constant SampleLostStatusKind := 7; 
  5979.    --  <dref name="LOST_BY_VIRTUAL_WRITERS_LIMIT">SampleLostStatusKind_LOST_BY_VIRTUAL_WRITERS_LIMIT</dref> 
  5980.  
  5981.    LOST_BY_REMOTE_WRITERS_PER_SAMPLE_LIMIT    : constant SampleLostStatusKind := 8; 
  5982.    --  <dref name="LOST_BY_REMOTE_WRITERS_PER_SAMPLE_LIMIT">SampleLostStatusKind_LOST_BY_REMOTE_WRITERS_PER_SAMPLE_LIMIT</dref> 
  5983.  
  5984.    LOST_BY_AVAILABILITY_WAITING_TIME          : constant SampleLostStatusKind := 9; 
  5985.    --  <dref name="LOST_BY_AVAILABILITY_WAITING_TIME">SampleLostStatusKind_LOST_BY_AVAILABILITY_WAITING_TIME</dref> 
  5986.  
  5987.    LOST_BY_REMOTE_WRITER_SAMPLES_PER_VIRTUAL_QUEUE_LIMIT : constant SampleLostStatusKind := 10; 
  5988.    --  <dref name="LOST_BY_REMOTE_WRITER_SAMPLES_PER_VIRTUAL_QUEUE_LIMIT">SampleLostStatusKind_LOST_BY_REMOTE_WRITER_SAMPLES_PER_VIRTUAL_QUEUE_LIMIT</dref> 
  5989.  
  5990.    LOST_BY_OUT_OF_MEMORY                      : constant SampleLostStatusKind := 11; 
  5991.    --  <dref name="LOST_BY_OUT_OF_MEMORY">SampleLostStatusKind_LOST_BY_OUT_OF_MEMORY</dref> 
  5992.  
  5993.    LOST_BY_UNKNOWN_INSTANCE                   : constant SampleLostStatusKind := 12; 
  5994.    --  <dref name="LOST_BY_UNKNOWN_INSTANCE">SampleLostStatusKind_LOST_BY_UNKNOWN_INSTANCE</dref> 
  5995.  
  5996.    --  type SampleLostStatusKind_Access is access constant SampleLostStatusKind; 
  5997.  
  5998.    --  ---------------------------------------------------------- 
  5999.    --                  SampleLostStatus 
  6000.    --  ---------------------------------------------------------- 
  6001.  
  6002.    type SampleLostStatus is record 
  6003.       Total_Count        : aliased Long := 0; 
  6004.       Total_Count_Change : aliased Long := 0; 
  6005.       Last_Reason        : aliased SampleLostStatusKind := NOT_LOST; 
  6006.    end record with Convention => C; 
  6007.    pragma Compile_Time_Error (SampleLostStatus'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_subscription_h.DDS_SampleLostStatus'Size, "SampleLostStatus size missmatch."); 
  6008.  
  6009.    --  <dref>SampleLostStatus</dref> 
  6010.    --  <dref name="Total_Count">SampleLostStatus_total_count</dref> 
  6011.    --  <dref name="Total_Count_Change">SampleLostStatus_total_count_change</dref> 
  6012.    --  <dref name="Last_Reason">SampleLostStatus_last_reason</dref> 
  6013.  
  6014.  
  6015.    SampleLostStatus_INITIALIZER : constant SampleLostStatus := (others => <>); 
  6016.    --  ---------------------------------------------------------- 
  6017.    --                  SampleRejectedStatus 
  6018.    --  ---------------------------------------------------------- 
  6019.  
  6020.    type SampleRejectedStatusKind is 
  6021.      (NOT_REJECTED, 
  6022.       REJECTED_BY_INSTANCES_LIMIT, 
  6023.       REJECTED_BY_SAMPLES_LIMIT, 
  6024.       REJECTED_BY_SAMPLES_PER_INSTANCE_LIMIT, 
  6025.       REJECTED_BY_SAMPLES_PER_REMOTE_WRITER_LIMIT, 
  6026.       REJECTED_BY_REMOTE_WRITER_SAMPLES_PER_VIRTUAL_QUEUE_LIMIT, 
  6027.       REJECTED_BY_DECODE_FAILURE); 
  6028.    --  <dref>SampleRejectedStatusKind</dref> 
  6029.    --  <dref name="NOT_REJECTED">SampleRejectedStatusKind_NOT_REJECTED</dref> 
  6030.    --  <dref name="REJECTED_BY_INSTANCES_LIMIT">SampleRejectedStatusKind_REJECTED_BY_INSTANCES_LIMIT</dref> 
  6031.    --  <dref name="REJECTED_BY_SAMPLES_LIMIT">SampleRejectedStatusKind_REJECTED_BY_SAMPLES_LIMIT</dref> 
  6032.    --  <dref name="REJECTED_BY_SAMPLES_PER_INSTANCE_LIMIT">SampleRejectedStatusKind_REJECTED_BY_SAMPLES_PER_INSTANCE_LIMIT</dref> 
  6033.    --  <dref name="REJECTED_BY_SAMPLES_PER_REMOTE_WRITER_LIMIT">SampleRejectedStatusKind_REJECTED_BY_SAMPLES_PER_REMOTE_WRITER_LIMIT</dref> 
  6034.    --  <dref name="REJECTED_BY_REMOTE_WRITER_SAMPLES_PER_VIRTUAL_QUEUE_LIMIT>SampleRejectedStatusKind_REJECTED_BY_REMOTE_WRITER_SAMPLES_PER_VIRTUAL_QUEUE_LIMIT</dref> 
  6035.    --  <dref name="REJECTED_BY_DECODE_FAILURE>SampleRejectedStatusKind_REJECTED_BY_DECODE_FAILURE</dref> 
  6036.  
  6037.  
  6038.    pragma Convention (C, SampleRejectedStatusKind); 
  6039.  
  6040.    for SampleRejectedStatusKind use 
  6041.      (NOT_REJECTED                                              => 0, 
  6042.       REJECTED_BY_INSTANCES_LIMIT                               => 1, 
  6043.       REJECTED_BY_SAMPLES_LIMIT                                 => 2, 
  6044.       REJECTED_BY_SAMPLES_PER_INSTANCE_LIMIT                    => 3, 
  6045.       REJECTED_BY_SAMPLES_PER_REMOTE_WRITER_LIMIT               => 6, 
  6046.       REJECTED_BY_REMOTE_WRITER_SAMPLES_PER_VIRTUAL_QUEUE_LIMIT => 9, 
  6047.       REJECTED_BY_DECODE_FAILURE                                => 10); 
  6048.  
  6049.    type SampleRejectedStatus is record 
  6050.       Total_Count          : aliased Long := 0; 
  6051.       Total_Count_Change   : aliased Long := 0; 
  6052.       Last_Reason          : aliased SampleRejectedStatusKind := NOT_REJECTED; 
  6053.       Last_Instance_Handle : aliased InstanceHandle_T := Null_InstanceHandle_T; 
  6054.    end record with Convention => C; 
  6055.    pragma Compile_Time_Error (SampleRejectedStatus'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_subscription_h.DDS_SampleRejectedStatus'Size, "SampleRejectedStatus size missmatch."); 
  6056.  
  6057.    --  <dref>SampleRejectedStatus</dref> 
  6058.    --  <dref name="Total_Count">SampleRejectedStatus_total_count</dref> 
  6059.    --  <dref name="Total_Count_Change">SampleRejectedStatus_total_count_change</dref> 
  6060.    --  <dref name="Last_Reason">SampleRejectedStatus_last_reason</dref> 
  6061.    --  <dref name="Last_Instance_Handle">SampleRejectedStatus_last_instance_handle</dref> 
  6062.  
  6063.    SampleRejectedStatus_INITIALIZER : constant SampleRejectedStatus := (others => <>); 
  6064.  
  6065.    --  ---------------------------------------------------------- 
  6066.    --                  SubscriptionMatchedStatus 
  6067.    --  ---------------------------------------------------------- 
  6068.  
  6069.    type SubscriptionMatchedStatus is record 
  6070.       Total_Count             : aliased Long := 0; 
  6071.       Total_Count_Change      : aliased Long := 0; 
  6072.       Current_Count           : aliased Long := 0; 
  6073.       Current_Count_Peak      : aliased Long := 0; 
  6074.       Current_Count_Change    : aliased Long := 0; 
  6075.       Last_Publication_Handle : aliased InstanceHandle_T := Null_InstanceHandle_T; 
  6076.    end record; 
  6077.    --  <dref>SubscriptionMatchedStatus</dref> 
  6078.    --  <dref name="Total_Count">SubscriptionMatchedStatus_total_count</dref> 
  6079.    --  <dref name="Total_Count_Change">SubscriptionMatchedStatus_total_count_change</dref> 
  6080.    --  <dref name="Current_Count">SubscriptionMatchedStatus_current_count</dref> 
  6081.    --  <dref name="Current_Count_Peak">SubscriptionMatchedStatus_current_count_peak</dref> 
  6082.    --  <dref name="Current_Count_Change">SubscriptionMatchedStatus_current_count_change</dref> 
  6083.    --  <dref name="Last_Publication_Handle">SubscriptionMatchedStatus_last_publication_handle</dref> 
  6084.  
  6085.    pragma Convention (C, SubscriptionMatchedStatus); 
  6086.  
  6087.    SubscriptionMatchedStatus_INITIALIZER : constant SubscriptionMatchedStatus := (others => <>); 
  6088.  
  6089.    --  ---------------------------------------------------------- 
  6090.    --                  DataReaderCacheStatus 
  6091.    --  ---------------------------------------------------------- 
  6092.  
  6093.    type DataReaderCacheStatus is record 
  6094.       Sample_Count_Peak                                         : aliased Long_Long := 0; 
  6095.       Sample_Count                                              : aliased Long_Long := 0; 
  6096.       Old_Source_Timestamp_Dropped_Sample_Count                 : aliased Long_Long := 0; 
  6097.       Tolerance_Source_Timestamp_Dropped_Sample_Count           : aliased Long_Long := 0; 
  6098.       Ownership_Dropped_Sample_Count                            : aliased Long_Long := 0; 
  6099.       Content_Filter_Dropped_Sample_Count                       : aliased Long_Long := 0; 
  6100.       Time_Based_Filter_Dropped_Sample_Count                    : aliased Long_Long := 0; 
  6101.       Expired_Dropped_Sample_Count                              : aliased Long_Long := 0; 
  6102.       Virtual_Duplicate_Dropped_Sample_Count                    : aliased Long_Long := 0; 
  6103.       Replaced_Dropped_Sample_Count                             : aliased Long_Long := 0; 
  6104.       Writer_Removed_Batch_Sample_Dropped_Sample_Count          : aliased Long_Long := 0; 
  6105.       Total_Samples_Dropped_By_Instance_Replacement             : aliased Long_Long := 0; 
  6106.       Alive_Instance_Count                                      : aliased Long_Long := 0; 
  6107.       Alive_Instance_Count_Peak                                 : aliased Long_Long := 0; 
  6108.       No_Writers_Instance_Count                                 : aliased Long_Long := 0; 
  6109.       No_Writers_Instance_Count_Peak                            : aliased Long_Long := 0; 
  6110.       Disposed_Instance_Count                                   : aliased Long_Long := 0; 
  6111.       Disposed_Instance_Count_Peak                              : aliased Long_Long := 0; 
  6112.       Detached_Instance_Count                                   : aliased Long_Long := 0; 
  6113.       Detached_Instance_Count_Peak                              : aliased Long_Long := 0; 
  6114.       Compressed_Sample_Count                                   : aliased Long_Long := 0; 
  6115.    end record with Convention => C; 
  6116.    pragma Compile_Time_Error (DataReaderCacheStatus'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_subscription_h.DDS_DataReaderCacheStatus'Size, "DataReaderCacheStatus size missmatch."); 
  6117.  
  6118.    --  <dref>DataReaderCacheStatus</dref> 
  6119.    --  <dref name="Sample_Count_Peak">DataReaderCacheStatus_sample_count_peak</dref> 
  6120.    --  <dref name="Sample_Count">DataReaderCacheStatus_sample_count</dref> 
  6121.    --  <dref name="Old_Source_Timestamp_Dropped_Sample_Count">DataReaderCacheStatus_old_source_timestamp_dropped_sample_count</dref> 
  6122.    --  <dref name="Tolerance_Source_Timestamp_Dropped_Sample_Count">DataReaderCacheStatus_tolerance_source_timestamp_dropped_sample_count</dref> 
  6123.    --  <dref name="Ownership_Dropped_Sample_Count">DataReaderCacheStatus_ownership_dropped_sample_count</dref> 
  6124.    --  <dref name="Content_Filter_Dropped_Sample_Count">DataReaderCacheStatus_content_filter_dropped_sample_count</dref> 
  6125.    --  <dref name="Time_Based_Filter_Dropped_Sample_Count">DataReaderCacheStatus_time_based_filter_dropped_sample_count</dref> 
  6126.    --  <dref name="Expired_Dropped_Sample_Count">DataReaderCacheStatus_expired_dropped_sample_count</dref> 
  6127.    --  <dref name="Virtual_Duplicate_Dropped_Sample_Count">DataReaderCacheStatus_virtual_duplicate_dropped_sample_count</dref> 
  6128.    --  <dref name="Replaced_Dropped_Sample_Count">DataReaderCacheStatus_replaced_dropped_sample_count</dref> 
  6129.    --  <dref name="Writer_Removed_Batch_Sample_Dropped_Sample_Count">DataReaderCacheStatus_writer_removed_batch_sample_dropped_sample_count</dref> 
  6130.    --  <dref name="Total_Samples_Dropped_By_Instance_Replacement">DataReaderCacheStatus_total_samples_dropped_by_instance_replacement</dref> 
  6131.    --  <dref name="Alive_Instance_Count">DataReaderCacheStatus_alive_instance_count</dref> 
  6132.    --  <dref name="Alive_Instance_Count_Peak">DataReaderCacheStatus_alive_instance_count_peak</dref> 
  6133.    --  <dref name="No_Writers_Instance_Count">DataReaderCacheStatus_no_writers_instance_count</dref> 
  6134.    --  <dref name="No_Writers_Instance_Count_Peak">DataReaderCacheStatus_no_writers_instance_count_peak</dref> 
  6135.    --  <dref name="Disposed_Instance_Count">DataReaderCacheStatus_disposed_instance_count</dref> 
  6136.    --  <dref name="Disposed_Instance_Count_Peak">DataReaderCacheStatus_disposed_instance_count_peak</dref> 
  6137.    --  <dref name="Detached_Instance_Count">DataReaderCacheStatus_detached_instance_count</dref> 
  6138.    --  <dref name="Detached_Instance_Count_Peak">DataReaderCacheStatus_detached_instance_count_peak</dref> 
  6139.    --  <dref name="Compressed_Sample_Count">DataReaderCacheStatus_compressed_sample_count</dref> 
  6140.  
  6141.    DataReaderCacheStatus_INITIALIZER : constant DataReaderCacheStatus := (others => <>); 
  6142.    --  ---------------------------------------------------------- 
  6143.    --                  DataReaderProtocolStatus 
  6144.    --  ---------------------------------------------------------- 
  6145.  
  6146.    type DataReaderProtocolStatus is record 
  6147.       Received_Sample_Count                  : aliased Long_Long := 0; 
  6148.       Received_Sample_Count_Change           : aliased Long_Long := 0; 
  6149.       Received_Sample_Bytes                  : aliased Long_Long := 0; 
  6150.       Received_Sample_Bytes_Change           : aliased Long_Long := 0; 
  6151.       Duplicate_Sample_Count                 : aliased Long_Long := 0; 
  6152.       Duplicate_Sample_Count_Change          : aliased Long_Long := 0; 
  6153.       Duplicate_Sample_Bytes                 : aliased Long_Long := 0; 
  6154.       Duplicate_Sample_Bytes_Change          : aliased Long_Long := 0; 
  6155.       Filtered_Sample_Count                  : aliased Long_Long := 0; 
  6156.       Filtered_Sample_Count_Change           : aliased Long_Long := 0; 
  6157.       Filtered_Sample_Bytes                  : aliased Long_Long := 0; 
  6158.       Filtered_Sample_Bytes_Change           : aliased Long_Long := 0; 
  6159.       Received_Heartbeat_Count               : aliased Long_Long := 0; 
  6160.       Received_Heartbeat_Count_Change        : aliased Long_Long := 0; 
  6161.       Received_Heartbeat_Bytes               : aliased Long_Long := 0; 
  6162.       Received_Heartbeat_Bytes_Change        : aliased Long_Long := 0; 
  6163.       Sent_Ack_Count                         : aliased Long_Long := 0; 
  6164.       Sent_Ack_Count_Change                  : aliased Long_Long := 0; 
  6165.       Sent_Ack_Bytes                         : aliased Long_Long := 0; 
  6166.       Sent_Ack_Bytes_Change                  : aliased Long_Long := 0; 
  6167.       Sent_Nack_Count                        : aliased Long_Long := 0; 
  6168.       Sent_Nack_Count_Change                 : aliased Long_Long := 0; 
  6169.       Sent_Nack_Bytes                        : aliased Long_Long := 0; 
  6170.       Sent_Nack_Bytes_Change                 : aliased Long_Long := 0; 
  6171.       Received_Gap_Count                     : aliased Long_Long := 0; 
  6172.       Received_Gap_Count_Change              : aliased Long_Long := 0; 
  6173.       Received_Gap_Bytes                     : aliased Long_Long := 0; 
  6174.       Received_Gap_Bytes_Change              : aliased Long_Long := 0; 
  6175.       Rejected_Sample_Count                  : aliased Long_Long := 0; 
  6176.       Rejected_Sample_Count_Change           : aliased Long_Long := 0; 
  6177.       First_Available_Sample_Sequence_Number : aliased SequenceNumber_T; 
  6178.       Last_Available_Sample_Sequence_Number  : aliased SequenceNumber_T; 
  6179.       Last_Committed_Sample_Sequence_Number  : aliased SequenceNumber_T; 
  6180.       Uncommitted_Sample_Count               : aliased Long := 0; 
  6181.       Out_Of_Range_Rejected_Sample_Count     : aliased Long_Long := 0; 
  6182.       Received_Fragment_Count                : aliased Long_Long := 0; 
  6183.       Dropped_Fragment_Count                 : aliased Long_Long := 0; 
  6184.       Reassembled_Sample_Count               : aliased Long_Long := 0; 
  6185.       Sent_Nack_Fragment_Count               : aliased Long_Long := 0; 
  6186.       Sent_Nack_Fragment_Bytes               : aliased Long_Long := 0; 
  6187.    end record; 
  6188.    --  <dref>DataReaderProtocolStatus</dref> 
  6189.    --  <dref name="received_sample_count">DataReaderProtocolStatus_received_sample_count</dref> 
  6190.    --  <dref name="received_sample_count_change">DataReaderProtocolStatus_received_sample_count_change</dref> 
  6191.    --  <dref name="received_sample_bytes">DataReaderProtocolStatus_received_sample_bytes</dref> 
  6192.    --  <dref name="received_sample_bytes_change">DataReaderProtocolStatus_received_sample_bytes_change</dref> 
  6193.    --  <dref name="duplicate_sample_count">DataReaderProtocolStatus_duplicate_sample_count</dref> 
  6194.    --  <dref name="duplicate_sample_count_change">DataReaderProtocolStatus_duplicate_sample_count_change</dref> 
  6195.    --  <dref name="duplicate_sample_bytes">DataReaderProtocolStatus_duplicate_sample_bytes</dref> 
  6196.    --  <dref name="duplicate_sample_bytes_change">DataReaderProtocolStatus_duplicate_sample_bytes_change</dref> 
  6197.    --  <dref name="filtered_sample_count">DataReaderProtocolStatus_filtered_sample_count</dref> 
  6198.    --  <dref name="filtered_sample_count_change">DataReaderProtocolStatus_filtered_sample_count_change</dref> 
  6199.    --  <dref name="filtered_sample_bytes">DataReaderProtocolStatus_filtered_sample_bytes</dref> 
  6200.    --  <dref name="filtered_sample_bytes_change">DataReaderProtocolStatus_filtered_sample_bytes_change</dref> 
  6201.    --  <dref name="received_heartbeat_count">DataReaderProtocolStatus_received_heartbeat_count</dref> 
  6202.    --  <dref name="received_heartbeat_count_change">DataReaderProtocolStatus_received_heartbeat_count_change</dref> 
  6203.    --  <dref name="received_heartbeat_bytes">DataReaderProtocolStatus_received_heartbeat_bytes</dref> 
  6204.    --  <dref name="received_heartbeat_bytes_change">DataReaderProtocolStatus_received_heartbeat_bytes_change</dref> 
  6205.    --  <dref name="sent_ack_count">DataReaderProtocolStatus_sent_ack_count</dref> 
  6206.    --  <dref name="sent_ack_count_change">DataReaderProtocolStatus_sent_ack_count_change</dref> 
  6207.    --  <dref name="sent_ack_bytes">DataReaderProtocolStatus_sent_ack_bytes</dref> 
  6208.    --  <dref name="sent_ack_bytes_change">DataReaderProtocolStatus_sent_ack_bytes_change</dref> 
  6209.    --  <dref name="sent_nack_count">DataReaderProtocolStatus_sent_nack_count</dref> 
  6210.    --  <dref name="sent_nack_count_change">DataReaderProtocolStatus_sent_nack_count_change</dref> 
  6211.    --  <dref name="sent_nack_bytes">DataReaderProtocolStatus_sent_nack_bytes</dref> 
  6212.    --  <dref name="sent_nack_bytes_change">DataReaderProtocolStatus_sent_nack_bytes_change</dref> 
  6213.    --  <dref name="received_gap_count">DataReaderProtocolStatus_received_gap_count</dref> 
  6214.    --  <dref name="received_gap_count_change">DataReaderProtocolStatus_received_gap_count_change</dref> 
  6215.    --  <dref name="received_gap_bytes">DataReaderProtocolStatus_received_gap_bytes</dref> 
  6216.    --  <dref name="received_gap_bytes_change">DataReaderProtocolStatus_received_gap_bytes_change</dref> 
  6217.    --  <dref name="rejected_sample_count">DataReaderProtocolStatus_rejected_sample_count</dref> 
  6218.    --  <dref name="rejected_sample_count_change">DataReaderProtocolStatus_rejected_sample_count_change</dref> 
  6219.    --  <dref name="first_available_sample_sequence_number">DataReaderProtocolStatus_first_available_sample_sequence_number</dref> 
  6220.    --  <dref name="last_available_sample_sequence_number">DataReaderProtocolStatus_last_available_sample_sequence_number</dref> 
  6221.    --  <dref name="last_committed_sample_sequence_number">DataReaderProtocolStatus_last_committed_sample_sequence_number</dref> 
  6222.    --  <dref name="uncommitted_sample_count">DataReaderProtocolStatus_uncommitted_sample_count</dref> 
  6223.    --  <dref name="Out_Of_Range_Rejected_Sample_Count">DataReaderProtocolStatus_out_of_range_rejected_sample_count</dref> 
  6224.    --  <dref name="received_fragment_count">DataReaderProtocolStatus_received_fragment_count</dref> 
  6225.    --  <dref name="Dropped_Fragment_Count">DataReaderProtocolStatus_dropped_fragment_count</dref> 
  6226.    --  <dref name="Reassembled_Sample_Count">DataReaderProtocolStatus_reassembled_sample_count</dref> 
  6227.    --  <dref name="Sent_Nack_Fragment_Count">DataReaderProtocolStatus_sent_nack_fragment_count</dref> 
  6228.    --  <dref name="Sent_Nack_Fragment_Bytes">DataReaderProtocolStatus_sent_nack_fragment_bytes</dref> 
  6229.  
  6230.    pragma Convention (C_Pass_By_Copy, DataReaderProtocolStatus); 
  6231.  
  6232.    DataReaderProtocolStatus_INITIALIZER : constant DataReaderProtocolStatus := 
  6233.                                             (Received_Sample_Count                  => 0, 
  6234.                                              Received_Sample_Count_Change           => 0, 
  6235.                                              Received_Sample_Bytes                  => 0, 
  6236.                                              Received_Sample_Bytes_Change           => 0, 
  6237.                                              Duplicate_Sample_Count                 => 0, 
  6238.                                              Duplicate_Sample_Count_Change          => 0, 
  6239.                                              Duplicate_Sample_Bytes                 => 0, 
  6240.                                              Duplicate_Sample_Bytes_Change          => 0, 
  6241.                                              Filtered_Sample_Count                  => 0, 
  6242.                                              Filtered_Sample_Count_Change           => 0, 
  6243.                                              Filtered_Sample_Bytes                  => 0, 
  6244.                                              Filtered_Sample_Bytes_Change           => 0, 
  6245.                                              Received_Heartbeat_Count               => 0, 
  6246.                                              Received_Heartbeat_Count_Change        => 0, 
  6247.                                              Received_Heartbeat_Bytes               => 0, 
  6248.                                              Received_Heartbeat_Bytes_Change        => 0, 
  6249.                                              Sent_Ack_Count                         => 0, 
  6250.                                              Sent_Ack_Count_Change                  => 0, 
  6251.                                              Sent_Ack_Bytes                         => 0, 
  6252.                                              Sent_Ack_Bytes_Change                  => 0, 
  6253.                                              Sent_Nack_Count                        => 0, 
  6254.                                              Sent_Nack_Count_Change                 => 0, 
  6255.                                              Sent_Nack_Bytes                        => 0, 
  6256.                                              Sent_Nack_Bytes_Change                 => 0, 
  6257.                                              Received_Gap_Count                     => 0, 
  6258.                                              Received_Gap_Count_Change              => 0, 
  6259.                                              Received_Gap_Bytes                     => 0, 
  6260.                                              Received_Gap_Bytes_Change              => 0, 
  6261.                                              Rejected_Sample_Count                  => 0, 
  6262.                                              Rejected_Sample_Count_Change           => 0, 
  6263.                                              First_Available_Sample_Sequence_Number => SEQUENCE_NUMBER_UNKNOWN, 
  6264.                                              Last_Available_Sample_Sequence_Number  => SEQUENCE_NUMBER_UNKNOWN, 
  6265.                                              Last_Committed_Sample_Sequence_Number  => SEQUENCE_NUMBER_UNKNOWN, 
  6266.                                              Uncommitted_Sample_Count               => 0, 
  6267.                                              Out_Of_Range_Rejected_Sample_Count     => 0, 
  6268.                                              Received_Fragment_Count                => 0, 
  6269.                                              Dropped_Fragment_Count                 => 0, 
  6270.                                              Reassembled_Sample_Count               => 0, 
  6271.                                              Sent_Nack_Fragment_Count               => 0, 
  6272.                                              Sent_Nack_Fragment_Bytes               => 0); 
  6273.  
  6274.    --  ---------------------------------------------------------- 
  6275.    --                  Sample States 
  6276.    --  ---------------------------------------------------------- 
  6277.  
  6278.    type SampleStateKind is new Unsigned_Long; 
  6279.    --  <dref>SampleStateKind</dref> 
  6280.  
  6281.    READ_SAMPLE_STATE : constant SampleStateKind     := 1; 
  6282.    --  <dref>SampleStateKind_READ_SAMPLE_STATE</dref> 
  6283.  
  6284.    NOT_READ_SAMPLE_STATE : constant SampleStateKind := 2; 
  6285.    --  <dref>SampleStateKind_NOT_READ_SAMPLE_STATE</dref> 
  6286.  
  6287.    subtype SampleStateMask is SampleStateKind; 
  6288.    --  <dref>SampleStateMask</dref> 
  6289.  
  6290.    --     ANY_SAMPLE_STATE : constant SampleStateMask := 65535; 
  6291.  
  6292.    ANY_SAMPLE_STATE  : constant SampleStateMask := 16#FFFF#; 
  6293.    --  <dref>ANY_SAMPLE_STATE</dref> 
  6294.  
  6295.    type SampleStateKind_Access is access constant SampleStateKind; 
  6296.  
  6297.    --  ---------------------------------------------------------- 
  6298.    --                  View States 
  6299.    --  ---------------------------------------------------------- 
  6300.  
  6301.    type ViewStateKind is new Unsigned_Long; 
  6302.    --  <dref>ViewStateKind</dref> 
  6303.  
  6304.    NEW_VIEW_STATE  : constant ViewStateKind     := 1; 
  6305.    --  <dref>ViewStateKind_NEW_VIEW_STATE</dref> 
  6306.  
  6307.    NOT_NEW_VIEW_STATE : constant ViewStateKind := 2; 
  6308.    --  <dref>ViewStateKind_NOT_NEW_VIEW_STATE</dref> 
  6309.  
  6310.    subtype ViewStateMask is ViewStateKind; 
  6311.    --  <dref>ViewStateMask</dref> 
  6312.  
  6313.    --     ANY_VIEW_STATE  : constant ViewStateMask      := 65535; 
  6314.  
  6315.    ANY_VIEW_STATE  : constant ViewStateMask := 16#FFFF#; 
  6316.    --  <dref>ANY_VIEW_STATE</dref> 
  6317.  
  6318.    type ViewStateKind_Access is access constant ViewStateKind; 
  6319.  
  6320.    --  ---------------------------------------------------------- 
  6321.    --                  Instance States 
  6322.    --  ---------------------------------------------------------- 
  6323.  
  6324.    type InstanceStateKind is   new Unsigned_Long; 
  6325.    --  <dref>InstanceStateKind</dref> 
  6326.  
  6327.    ALIVE_INSTANCE_STATE : constant InstanceStateKind                := 1; 
  6328.    --  <dref>InstanceStateKind_ALIVE_INSTANCE_STATE</dref> 
  6329.  
  6330.    NOT_ALIVE_DISPOSED_INSTANCE_STATE : constant InstanceStateKind   := 2; 
  6331.    --  <dref>InstanceStateKind_NOT_ALIVE_DISPOSED_INSTANCE_STATE</dref> 
  6332.  
  6333.    NOT_ALIVE_NO_WRITERS_INSTANCE_STATE : constant InstanceStateKind := 4; 
  6334.    --  <dref>InstanceStateKind_NOT_ALIVE_NO_WRITERS_INSTANCE_STATE</dref> 
  6335.  
  6336.    subtype InstanceStateMask is InstanceStateKind; 
  6337.    --  <dref>InstanceStateMask</dref> 
  6338.  
  6339.    NOT_ALIVE_INSTANCE_STATE : constant InstanceStateMask := 6; 
  6340.    --  <dref>NOT_ALIVE_INSTANCE_STATE</dref> 
  6341.  
  6342.    --     ANY_INSTANCE_STATE : constant InstanceStateMask := 65535; 
  6343.  
  6344.    ANY_INSTANCE_STATE  : constant InstanceStateMask := 16#FFFF#; 
  6345.    --  <dref>ANY_INSTANCE_STATE</dref> 
  6346.  
  6347.    type InstanceStateKind_Access is access constant InstanceStateKind; 
  6348.  
  6349.    --  ---------------------------------------------------------- 
  6350.    --                  Sample Info 
  6351.    --  ---------------------------------------------------------- 
  6352.  
  6353.    type SampleInfo is record 
  6354.       Sample_State                                                : aliased SampleStateKind; 
  6355.       View_State                                                  : aliased ViewStateKind; 
  6356.       Instance_State                                              : aliased InstanceStateKind; 
  6357.       Source_Timestamp                                            : aliased Time_T; 
  6358.       Instance_Handle                                             : aliased InstanceHandle_T; 
  6359.       Publication_Handle                                          : aliased InstanceHandle_T; 
  6360.       Disposed_Generation_Count                                   : aliased Long := 0; 
  6361.       No_Writers_Generation_Count                                 : aliased Long := 0; 
  6362.       Sample_Rank                                                 : aliased Long := 0; 
  6363.       Generation_Rank                                             : aliased Long := 0; 
  6364.       Absolute_Generation_Rank                                    : aliased Long := 0; 
  6365.       Valid_Data                                                  : aliased DDS.Boolean := False; 
  6366.       Reception_Timestamp                                         : aliased Time_T; 
  6367.       Publication_Sequence_Number                                 : aliased SequenceNumber_T; 
  6368.       Reception_Sequence_Number                                   : aliased SequenceNumber_T; 
  6369.       Publication_Virtual_Guid                                    : aliased Guid_T; 
  6370.       Publication_Virtual_Sequence_Number                         : aliased SequenceNumber_T; 
  6371.       Original_Publication_Virtual_Guid                           : aliased Guid_T; 
  6372.       Original_Publication_Virtual_Sequence_Number                : aliased SequenceNumber_T; 
  6373.       Related_Original_Publication_Virtual_Guid                   : aliased Guid_T; 
  6374.       Related_Original_Publication_Virtual_Sequence_Number        : aliased SequenceNumber_T; 
  6375.       Flag                                                        : aliased SampleFlag; 
  6376.       Source_Guid                                                 : aliased Guid_T; 
  6377.       Related_Source_Guid                                         : aliased Guid_T; 
  6378.       Related_Subscription_Guid                                   : aliased Guid_T; 
  6379.       Topic_Query_Guid                                            : aliased Guid_T; 
  6380.       Sample_Info_Hash                                            : aliased RTIDDS.Low_Level.ndds_osapi_osapi_hash_h.RTIOsapiHash; 
  6381.       Sample_Signature                                            : aliased access RTIDDS.Low_Level.ndds_pres_pres_common_impl_h.PRESSampleSignature; 
  6382.       Encapsulation_Id                                            : aliased EncapsulationId_T; 
  6383.       Related_Epoch                                               : aliased SequenceNumber_T; 
  6384.       Coherent_Set_Info                                           : aliased access RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_CoherentSetInfo_t; 
  6385.    end record with Convention => C; 
  6386.    pragma Compile_Time_Error (SampleInfo'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_subscription_h.DDS_SampleInfo'Size, "SampleInfo size missmatch."); 
  6387.  
  6388.    --  <dref>SampleInfo</dref> 
  6389.    --  <dref name="Sample_State">SampleInfo_sample_state</dref> 
  6390.    --  <dref name="View_State">SampleInfo_view_state</dref> 
  6391.    --  <dref name="Instance_State">SampleInfo_instance_state</dref> 
  6392.    --  <dref name="Source_Timestamp">SampleInfo_source_timestamp</dref> 
  6393.    --  <dref name="Instance_Handle">SampleInfo_instance_handle</dref> 
  6394.    --  <dref name="Publication_Handle">SampleInfo_publication_handle</dref> 
  6395.    --  <dref name="Disposed_Generation_Count">SampleInfo_disposed_generation_count</dref> 
  6396.    --  <dref name="No_Writers_Generation_Count">SampleInfo_no_writers_generation_count</dref> 
  6397.    --  <dref name="Sample_Rank">SampleInfo_sample_rank</dref> 
  6398.    --  <dref name="Generation_Rank">SampleInfo_generation_rank</dref> 
  6399.    --  <dref name="Absolute_Generation_Rank">SampleInfo_absolute_generation_rank</dref> 
  6400.    --  <dref name="Valid_Data">SampleInfo_valid_data</dref> 
  6401.    --  <dref name="Reception_Timestamp">SampleInfo_reception_timestamp</dref> 
  6402.    --  <dref name="Publication_Sequence_Number">SampleInfo_publication_sequence_number</dref> 
  6403.    --  <dref name="Reception_Sequence_Number">SampleInfo_reception_sequence_number</dref> 
  6404.    --  <dref internal="true" name="Publication_Virtual_Guid">SampleInfo_publication_virtual_guid</dref> 
  6405.    --  <dref internal="true" name="Publication_Virtual_Sequence_Number">SampleInfo_publication_virtual_sequence_number</dref> 
  6406.    --  <dref name="Original_Publication_Virtual_Guid">SampleInfo_original_publication_virtual_guid</dref> 
  6407.    --  <dref name="Original_Publication_Virtual_Sequence_Number">SampleInfo_original_publication_virtual_sequence_number</dref> 
  6408.    --  <dref name="Related_Original_Publication_Virtual_Guid">SampleInfo_related_original_publication_virtual_guid</dref> 
  6409.    --  <dref name="Related_Original_Publication_Virtual_Sequence_Number">SampleInfo_related_original_publication_virtual_sequence_number</dref> 
  6410.    --  <dref name="Flag">SampleInfo_flag</dref> 
  6411.    --  <dref name="Source_Guid">SampleInfo_source_guid</dref> 
  6412.    --  <dref name="Related_Source_Guid">SampleInfo_related_source_guid</dref> 
  6413.    --  <dref name="Related_Subscription_Guid">SampleInfo_related_subscription_guid</dref> 
  6414.    --  <dref internal="true" name="Topic_Query_Guid">SampleInfo_topic_query_guid</dref> 
  6415.    --  <dref internal="true" name="Sample_Info_Hash">SampleInfo_sample_info_hash</dref> 
  6416.    --  <dref internal="true" name="Sample_Signature">SampleInfo_sample_signature</dref> 
  6417.    --  <dref internal="true" name="Encapsulation_Id">SampleInfo_encapsulation_id</dref> 
  6418.    --  <dref internal="true" name="Related_Epoch">SampleInfo_related_epoch</dref> 
  6419.  
  6420.  
  6421.    type SampleInfo_Access is access all SampleInfo; 
  6422.    type SampleInfo_Array is array (Natural range <>) of aliased SampleInfo; 
  6423.    procedure Initialize (Self  : in out SampleInfo); 
  6424.    procedure Finalize (Self  : in out SampleInfo); 
  6425.    procedure Copy (Dst : in out SampleInfo; Src : in SampleInfo); 
  6426.  
  6427.    procedure Get_Sample_Identity (From : SampleInfo; Id : out SampleIdentity_T); 
  6428.    function Get_Sample_Identity (From : SampleInfo) return  SampleIdentity_T; 
  6429.  
  6430.    procedure Get_Related_Sample_Identity (From : SampleInfo; Id : out SampleIdentity_T); 
  6431.    function Get_Related_Sample_Identity (From : SampleInfo) return  SampleIdentity_T; 
  6432.  
  6433.    package SampleInfo_Seq is new DDS_Support.Sequences_Generic 
  6434.      (SampleInfo, 
  6435.       SampleInfo_Access, 
  6436.       DDS.Natural, 
  6437.       1, 
  6438.       SampleInfo_Array); 
  6439.    --  <dref>SampleInfoSeq</dref> 
  6440.  
  6441.    --  ---------------------------------------------------------- 
  6442.    --                  DataReaderOos 
  6443.    --  ---------------------------------------------------------- 
  6444.  
  6445.    type DataReaderQoS is new Ada.Finalization.Limited_Controlled with record 
  6446.    --- 
  6447.       Durability             : aliased DurabilityQosPolicy; 
  6448.       Deadline               : aliased DeadlineQosPolicy; 
  6449.       Latency_Budget         : aliased LatencyBudgetQosPolicy; 
  6450.       Liveliness             : aliased LivelinessQosPolicy; 
  6451.       Reliability            : aliased ReliabilityQosPolicy; 
  6452.       Destination_Order      : aliased DestinationOrderQosPolicy; 
  6453.       History                : aliased HistoryQosPolicy; 
  6454.       Resource_Limits        : aliased ResourceLimitsQosPolicy; 
  6455.       User_Data              : aliased UserDataQosPolicy; 
  6456.       Ownership              : aliased OwnershipQosPolicy; 
  6457.       Time_Based_Filter      : aliased TimeBasedFilterQosPolicy; 
  6458.       Reader_Data_Lifecycle  : aliased ReaderDataLifecycleQosPolicy; 
  6459.       Representation         : aliased DataRepresentationQosPolicy; 
  6460.       Type_Consistency       : aliased TypeConsistencyEnforcementQosPolicy; 
  6461.       Data_Tags              : aliased DataTagQosPolicy; 
  6462.       -- --- Extensions: ---------------------------------------------------- 
  6463.       Reader_Resource_Limits : aliased DataReaderResourceLimitsQosPolicy; 
  6464.       Protocol               : aliased DataReaderProtocolQosPolicy; 
  6465.       Transport_Selection    : aliased TransportSelectionQosPolicy; 
  6466.       Unicast                : aliased TransportUnicastQosPolicy; 
  6467.       Multicast              : aliased TransportMulticastQosPolicy; 
  6468.       Encapsulation          : aliased TransportEncapsulationQosPolicy; 
  6469.       Property               : aliased PropertyQosPolicy; 
  6470.       Service                : aliased ServiceQosPolicy; 
  6471.       Availability           : aliased AvailabilityQosPolicy; 
  6472.       Subscription_Name      : aliased EntityNameQosPolicy; 
  6473.       Transport_Priority     : aliased TransportPriorityQosPolicy; 
  6474.       Type_Support           : aliased TypeSupportQosPolicy; 
  6475.    end record; 
  6476.    --  <dref>DataReaderQos</dref> 
  6477.    --  <dref name="Durability">DataReaderQos_durability</dref> 
  6478.    --  <dref name="Deadline">DataReaderQos_deadline</dref> 
  6479.    --  <dref name="Latency_Budget">DataReaderQos_latency_budget</dref> 
  6480.    --  <dref name="Liveliness">DataReaderQos_liveliness</dref> 
  6481.    --  <dref name="Reliability">DataReaderQos_reliability</dref> 
  6482.    --  <dref name="Destination_Order">DataReaderQos_destination_order</dref> 
  6483.    --  <dref name="History">DataReaderQos_history</dref> 
  6484.    --  <dref name="Resource_Limits">DataReaderQos_resource_limits</dref> 
  6485.    --  <dref name="User_Data">DataReaderQos_user_data</dref> 
  6486.    --  <dref name="Ownership">DataReaderQos_ownership</dref> 
  6487.    --  <dref name="Time_Based_Filter">DataReaderQos_time_based_filter</dref> 
  6488.    --  <dref name="Reader_Data_Lifecycle">DataReaderQos_reader_data_lifecycle</dref> 
  6489.    --  <dref name="Representation">DataReaderQos_representation</dref> 
  6490.    --  <dref name="Type_Consistency">DataReaderQos_type_consistency</dref> 
  6491.    --  <dref name="Data_Tags">DataReaderQos_data_tags</dref> 
  6492.    --  <dref name="Reader_Resource_Limits">DataReaderQos_reader_resource_limits</dref> 
  6493.    --  <dref name="Protocol">DataReaderQos_protocol</dref> 
  6494.    --  <dref name="Transport_Selection">DataReaderQos_transport_selection</dref> 
  6495.    --  <dref name="Unicast">DataReaderQos_unicast</dref> 
  6496.    --  <dref name="Multicast">DataReaderQos_multicast</dref> 
  6497.    --  <dref internal="true" name="Encapsulation">DataReaderQos_encapsulation</dref> 
  6498.    --  <dref name="Property">DataReaderQos_property</dref> 
  6499.    --  <dref name="Service">DataReaderQos_service</dref> 
  6500.    --  <dref name="Availability">DataReaderQos_availability</dref> 
  6501.    --  <dref name="Subscription_Name">DataReaderQos_subscription_name</dref> 
  6502.    --  <dref name="Transport_Priority">DataReaderQos_transport_priority</dref> 
  6503.    --  <dref name="Type_Support">DataReaderQos_type_support</dref> 
  6504.  
  6505.    pragma Convention (C, DataReaderQoS); 
  6506.  
  6507.    --  <dref>DataReaderQos_initialize</dref> 
  6508.    procedure Initialize 
  6509.      (Self : in out DataReaderQoS); 
  6510.  
  6511.    --  <dref>DataReaderQos_finalize</dref> 
  6512.    procedure Finalize 
  6513.      (Self : in out DataReaderQoS); 
  6514.  
  6515.    --  <dref>DataReaderQos_copy</dref> 
  6516.    procedure Copy 
  6517.      (Target : out DataReaderQoS; 
  6518.       Source : in DataReaderQoS); 
  6519.  
  6520.  
  6521.    --  ---------------------------------------------------------- 
  6522.    --                  SubscriberOos 
  6523.    --  ---------------------------------------------------------- 
  6524.  
  6525.    type SubscriberQos is new Ada.Finalization.Limited_Controlled with record 
  6526.       Presentation    : PresentationQosPolicy; 
  6527.       Partition       : PartitionQosPolicy; 
  6528.       Group_Data      : GroupDataQosPolicy; 
  6529.       Entity_Factory  : EntityFactoryQosPolicy; 
  6530.       -- --- Extensions: ---------------------------------------------------- 
  6531.       Exclusive_Area  : ExclusiveAreaQosPolicy; 
  6532.       Protocol        : aliased SubscriberProtocolQosPolicy; 
  6533.       Subscriber_Name : aliased EntityNameQosPolicy; 
  6534.    end record; 
  6535.    --  <dref>SubscriberQos</dref> 
  6536.    --  <dref name="Presentation">SubscriberQos_presentation</dref> 
  6537.    --  <dref name="Partition">SubscriberQos_partition</dref> 
  6538.    --  <dref name="Group_Data">SubscriberQos_group_data</dref> 
  6539.    --  <dref name="Entity_Factory">SubscriberQos_entity_factory</dref> 
  6540.    --  <dref internal="true" name="Enclusive_Area">SubscriberQos_exclusive_area</dref> 
  6541.    --  <dref internal="true" name="Protocol">SubscriberQos_protocol</dref> 
  6542.    --  <dref name="subscriber_name">SubscriberQos_subscriber_name</dref> 
  6543.  
  6544.    pragma Convention (C, SubscriberQos); 
  6545.    type SubscriberQos_Access is access SubscriberQos; 
  6546.  
  6547.    --  <dref>SubscriberQos_initialize</dref> 
  6548.    procedure Initialize 
  6549.      (Self : in out SubscriberQos); 
  6550.  
  6551.    --  <dref>SubscriberQos_finalize</dref> 
  6552.    procedure Finalize 
  6553.      (Self : in out SubscriberQos); 
  6554.  
  6555.    --  <dref>SubscriberQos_copy</dref> 
  6556.    procedure Copy 
  6557.      (Target : out SubscriberQos; 
  6558.       Source : in SubscriberQos); 
  6559.  
  6560.    -- 
  6561.    --  From dds_sqlfilter.h 
  6562.    -- 
  6563.  
  6564.    SQLFILTER_NAME  : constant DDS.String := To_DDS_String ("DDSSQL"); 
  6565.    --  <dref>SQLFILTER_NAME</dref> 
  6566.  
  6567.  
  6568.    STRINGMATCHFILTER_NAME : constant DDS.String := To_DDS_String ("DDSSTRINGMATCH"); 
  6569.    --  <dref>STRINGMATCHFILTER_NAME</dref> 
  6570.    --  <dref>Shared_string_match_filter</dref> 
  6571.  
  6572.    -- 
  6573.    --  From dds_c_domain.h 
  6574.    -- 
  6575.  
  6576.    --  ---------------------------------------------------------- 
  6577.    --                  DomainParticipantQos 
  6578.    --  ---------------------------------------------------------- 
  6579.  
  6580.    type DomainParticipantQos is new Ada.Finalization.Limited_Controlled with  record 
  6581.       User_Data         : aliased UserDataQosPolicy; 
  6582.       Entity_Factory    : aliased EntityFactoryQosPolicy; 
  6583.       -- --------------- Extensions: ---------------------------------- 
  6584.       Wire_Protocol     : aliased WireProtocolQosPolicy; 
  6585.       Transport_Builtin : aliased TransportBuiltinQosPolicy; 
  6586.       Default_Unicast   : aliased TransportUnicastQosPolicy; 
  6587.       Discovery         : aliased DiscoveryQosPolicy; 
  6588.       Resource_Limits   : aliased DomainParticipantResourceLimitsQosPolicy; 
  6589.       Event             : aliased EventQosPolicy; 
  6590.       Receiver_Pool     : aliased ReceiverPoolQosPolicy; 
  6591.       Database          : aliased DatabaseQosPolicy; 
  6592.       Discovery_Config  : aliased DiscoveryConfigQosPolicy; 
  6593.       Exclusive_Area    : aliased ExclusiveAreaQosPolicy; 
  6594.       Property          : aliased PropertyQosPolicy; 
  6595.       Participant_Name  : aliased EntityNameQosPolicy; 
  6596.       Multicast_Mapping : aliased TransportMulticastMappingQosPolicy; 
  6597.       Service           : aliased ServiceQosPolicy; 
  6598.       Partition         : aliased PartitionQosPolicy; 
  6599.       User_Object       : aliased UserObjectQosPolicy; 
  6600.       Protocol          : aliased DomainParticipantProtocolQosPolicy; 
  6601.       Type_Support      : aliased TypeSupportQosPolicy; 
  6602.    end record; 
  6603.    --  <dref>DomainParticipantQos</dref> 
  6604.    --  <dref name="User_Data">DomainParticipantQos_user_data</dref> 
  6605.    --  <dref name="Entity_Factory">DomainParticipantQos_entity_factory</dref> 
  6606.    --  <dref name="Wire_Protocol">DomainParticipantQos_wire_protocol</dref> 
  6607.    --  <dref name="Transport_Builtin">DomainParticipantQos_transport_builtin</dref> 
  6608.    --  <dref name="Default_Unicast">DomainParticipantQos_default_unicast</dref> 
  6609.    --  <dref name="Discovery">DomainParticipantQos_discovery</dref> 
  6610.    --  <dref name="Resource_Limits">DomainParticipantQos_resource_limits</dref> 
  6611.    --  <dref name="Event">DomainParticipantQos_event</dref> 
  6612.    --  <dref name="Receiver_Pool">DomainParticipantQos_receiver_pool</dref> 
  6613.    --  <dref name="Database">DomainParticipantQos_database</dref> 
  6614.    --  <dref name="Discovery_Config">DomainParticipantQos_discovery_config</dref> 
  6615.    --  <dref internal="true" name="Exclusive_Area">DomainParticipantQos_exclusive_area</dref> 
  6616.    --  <dref name="Property">DomainParticipantQos_property</dref> 
  6617.    --  <dref name="Participant_Name">DomainParticipantQos_participant_name</dref> 
  6618.    --  <dref name="Multicast_Mapping">DomainParticipantQos_multicast_mapping</dref> 
  6619.    --  <dref internal="true" name="Service">DomainParticipantQos_service</dref> 
  6620.    --  <dref name="User_Object">DomainParticipantQos_user_object</dref> 
  6621.    --  <dref internal="true" name="Protocol">DomainParticipantQos_protocol</dref> 
  6622.    --  <dref name="Type_Support">DomainParticipantQos_type_support</dref> 
  6623.  
  6624.    pragma Convention (C, DomainParticipantQos); 
  6625.    --   <internal> 
  6626.    --   for DomainParticipantQos'Alignment use 4; 
  6627.    --   </internal> 
  6628.  
  6629.    --  <dref>DomainParticipantQos_initialize</dref> 
  6630.    procedure Initialize 
  6631.      (Self : in out DomainParticipantQos); 
  6632.  
  6633.    --  <dref>DomainParticipantQos_finalize</dref> 
  6634.    procedure Finalize 
  6635.      (Self : in out DomainParticipantQos); 
  6636.  
  6637.    --  <dref>DomainParticipantQos_copy</dref> 
  6638.    procedure Copy 
  6639.      (Target : out  DomainParticipantQos; 
  6640.       Source : in DomainParticipantQos); 
  6641.  
  6642.    type LogCategory is private; 
  6643.    --  <defgroup>LoggingGroupDocs</defgroup> 
  6644.    --  <dref>LogCategory</dref> 
  6645.  
  6646.    Category_PLATFORM : constant LogCategory; 
  6647.    --  <dref>LogCategory_NDDS_CONFIG_LOG_CATEGORY_PLATFORM</dref> 
  6648.  
  6649.    Category_COMMUNICATION : constant LogCategory; 
  6650.    --  <dref>LogCategory_NDDS_CONFIG_LOG_CATEGORY_COMMUNICATION</dref> 
  6651.  
  6652.    Category_DATABASE : constant LogCategory; 
  6653.    --  <dref>LogCategory_NDDS_CONFIG_LOG_CATEGORY_DATABASE</dref> 
  6654.  
  6655.    Category_ENTITIES : constant LogCategory; 
  6656.    --  <dref>LogCategory_NDDS_CONFIG_LOG_CATEGORY_ENTITIES</dref> 
  6657.  
  6658.    Category_API : constant LogCategory; 
  6659.    --  <dref>LogCategory_NDDS_CONFIG_LOG_CATEGORY_API</dref> 
  6660.  
  6661.    Category_ALL : constant LogCategory; 
  6662.  
  6663.    type LogVerbosity is private; 
  6664.    --  <dref>LogVerbosity</dref> 
  6665.  
  6666.    function "+" (Left, Right : LogVerbosity) return LogVerbosity; 
  6667.    function Image (Item : LogVerbosity) return Standard.String; 
  6668.    VERBOSITY_SILENT : constant LogVerbosity; 
  6669.    --  <dref>LogVerbosity_NDDS_CONFIG_LOG_VERBOSITY_SILENT</dref> 
  6670.  
  6671.    VERBOSITY_ERROR : constant LogVerbosity; 
  6672.    --  <dref>LogVerbosity_NDDS_CONFIG_LOG_VERBOSITY_ERROR</dref> 
  6673.  
  6674.    VERBOSITY_WARNING : constant LogVerbosity; 
  6675.    --  <dref>LogVerbosity_NDDS_CONFIG_LOG_VERBOSITY_WARNING</dref> 
  6676.  
  6677.    VERBOSITY_STATUS_LOCAL : constant LogVerbosity; 
  6678.    --  <dref>LogVerbosity_NDDS_CONFIG_LOG_VERBOSITY_STATUS_LOCAL</dref> 
  6679.  
  6680.    VERBOSITY_STATUS_REMOTE : constant LogVerbosity; 
  6681.    --  <dref>LogVerbosity_NDDS_CONFIG_LOG_VERBOSITY_STATUS_REMOTE</dref> 
  6682.  
  6683.    VERBOSITY_ALL : constant LogVerbosity; 
  6684.    function Contains (Self : LogVerbosity; Verbosity : LogVerbosity) return Boolean; 
  6685.  
  6686.    type LogPrintFormat is private; 
  6687.    --  <dref>LogPrintFormat</dref> 
  6688.  
  6689.    function "+" (Left, Right : LogPrintFormat) return LogPrintFormat; 
  6690.    PRINT_NONE : constant LogPrintFormat; 
  6691.    PRINT_BIT_NUMBER : constant LogPrintFormat; 
  6692.    --  <dref internal="true">LogPrintFormat_NDDS_CONFIG_LOG_PRINT_BIT_NUMBER</dref> 
  6693.  
  6694.    PRINT_BIT_MESSAGE : constant LogPrintFormat; 
  6695.    --  <dref internal="true">LogPrintFormat_NDDS_CONFIG_LOG_PRINT_BIT_MSG</dref> 
  6696.  
  6697.    PRINT_BIT_LOCATION_MODULE : constant LogPrintFormat; 
  6698.    --  <dref internal="true">LogPrintFormat_NDDS_CONFIG_LOG_PRINT_BIT_LOCATION_MODULE</dref> 
  6699.  
  6700.    PRINT_BIT_LOCATION_FILELINE : constant LogPrintFormat; 
  6701.    --  <dref internal="true">LogPrintFormat_NDDS_CONFIG_LOG_PRINT_BIT_LOCATION_FILELINE</dref> 
  6702.  
  6703.    PRINT_BIT_LOCATION_METHOD : constant LogPrintFormat; 
  6704.    --  <dref internal="true">LogPrintFormat_NDDS_CONFIG_LOG_PRINT_BIT_LOCATION_METHOD</dref> 
  6705.  
  6706.    PRINT_BIT_TIMESTAMP : constant LogPrintFormat; 
  6707.    --  <dref internal="true">LogPrintFormat_NDDS_CONFIG_LOG_PRINT_BIT_TIMESTAMP</dref> 
  6708.  
  6709.    PRINT_BIT_THREAD_ID : constant LogPrintFormat; 
  6710.    --  <dref internal="true">LogPrintFormat_NDDS_CONFIG_LOG_PRINT_BIT_THREAD_ID</dref> 
  6711.  
  6712.  
  6713.    PRINT_BIT_CONTEXT : constant LogPrintFormat; 
  6714.    --  <dref internal="true">LogPrintFormat_NDDS_CONFIG_LOG_PRINT_BIT_ACTIVITY_CONTEXT</dref> 
  6715.  
  6716.    PRINT_BIT_TWO_LINES : constant LogPrintFormat; 
  6717.    --  <dref internal="true">LogPrintFormat_NDDS_CONFIG_LOG_PRINT_BIT_TWO_LINES</dref> 
  6718.  
  6719.    PRINT_FORMAT_DEFAULT : constant LogPrintFormat; 
  6720.    --  <dref>LogPrintFormat_NDDS_CONFIG_LOG_PRINT_FORMAT_DEFAULT</dref> 
  6721.  
  6722.    PRINT_FORMAT_TIMESTAMPED : constant LogPrintFormat; 
  6723.    --  <dref>LogPrintFormat_NDDS_CONFIG_LOG_PRINT_FORMAT_TIMESTAMPED</dref> 
  6724.  
  6725.    PRINT_FORMAT_VERBOSE : constant LogPrintFormat; 
  6726.    --  <dref>LogPrintFormat_NDDS_CONFIG_LOG_PRINT_FORMAT_VERBOSE</dref> 
  6727.  
  6728.    PRINT_FORMAT_VERBOSE_TIMESTAMPED : constant LogPrintFormat; 
  6729.    --  <dref>LogPrintFormat_NDDS_CONFIG_LOG_PRINT_FORMAT_VERBOSE_TIMESTAMPED</dref> 
  6730.  
  6731.    PRINT_FORMAT_DEBUG : constant LogPrintFormat; 
  6732.    --  <dref>LogPrintFormat_NDDS_CONFIG_LOG_PRINT_FORMAT_DEBUG</dref> 
  6733.  
  6734.    PRINT_FORMAT_MINIMAL : constant LogPrintFormat; 
  6735.    --  <dref>LogPrintFormat_NDDS_CONFIG_LOG_PRINT_FORMAT_MINIMAL</dref> 
  6736.  
  6737.    PRINT_FORMAT_MAXIMAL : constant LogPrintFormat; 
  6738.    --  <dref>LogPrintFormat_NDDS_CONFIG_LOG_PRINT_FORMAT_MAXIMAL</dref> 
  6739.  
  6740.    type LoggingQosPolicy is record 
  6741.       Verbosity           : LogVerbosity   := VERBOSITY_SILENT; 
  6742.       Category            : LogCategory    := Category_PLATFORM; 
  6743.       Print_Format        : LogPrintFormat := PRINT_NONE; 
  6744.       Output_File         : String; 
  6745.       Output_File_Suffix  : String; 
  6746.       Max_Bytes_Per_File  : Long := -1; 
  6747.       Max_Files           : Long := -1; 
  6748.    end record; 
  6749.    --  ---------------------------------------------------------- 
  6750.    --                  SqlFilterAlignmentQos 
  6751.    --  ---------------------------------------------------------- 
  6752.  
  6753.    type SqlFilterAlignmentQos is record 
  6754.       Char_Alignment       : aliased DDS.Unsigned_Short := 0; 
  6755.       Short_Alignment      : aliased DDS.Unsigned_Short := 0; 
  6756.       Long_Alignment       : aliased DDS.Unsigned_Short := 0; 
  6757.       Float_Alignment      : aliased DDS.Unsigned_Short := 0; 
  6758.       Double_Alignment     : aliased DDS.Unsigned_Short := 0; 
  6759.       Longlong_Alignment   : aliased DDS.Unsigned_Short := 0; 
  6760.       Longdouble_Alignment : aliased DDS.Unsigned_Short := 0; 
  6761.       Pointer_Alignment    : aliased DDS.Unsigned_Short := 0; 
  6762.    end record with Convention => C_Pass_By_Copy; 
  6763.    pragma Compile_Time_Error (SqlFilterAlignmentQos'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_sqlfilter_h.DDS_SqlFilterAlignmentQos'Size, "SqlFilterAlignmentQos size missmatch."); 
  6764.  
  6765.    type SqlFilterMemoryManagementQos is record 
  6766.       Buffer_Min_Size : aliased DDS.Long := 0; 
  6767.       Trim_Buffer     : aliased DDS.Boolean := False; 
  6768.    end record with Convention => C_Pass_By_Copy; 
  6769.    pragma Compile_Time_Error (SqlFilterMemoryManagementQos'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_sqlfilter_h.DDS_SqlFilterMemoryManagementQos'Size, "SqlFilterMemoryManagementQos size missmatch."); 
  6770.  
  6771.    type SqlFilterGeneratorQos is new RTIDDS.Low_Level.ndds_dds_c_dds_c_sqlfilter_h.DDS_SqlFilterGeneratorQos; 
  6772.  
  6773.    --  ------------------------------------------------------------------------- 
  6774.    --      Monitoring 
  6775.    --  ------------------------------------------------------------------------- 
  6776.    type MonitoringDedicatedParticipantSettings is record 
  6777.       enable                       : aliased Boolean := False; 
  6778.       domain_id                    : aliased Integer := 0; 
  6779.       participant_qos_profile_name : aliased String; 
  6780.       collector_initial_peers      : aliased String_Seq.Sequence; 
  6781.    end record 
  6782.    with Convention => C; 
  6783.    pragma Compile_Time_Error (MonitoringDedicatedParticipantSettings'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_MonitoringDedicatedParticipantSettings'Size, "MonitoringDedicatedParticipantSettings'size missmatch"); 
  6784.  
  6785.    type MonitoringEventDistributionSettings is record 
  6786.       concurrency_level           : aliased Unsigned_Long; 
  6787.       datawriter_qos_profile_name : aliased String; 
  6788.       thread                      : aliased ThreadSettings_T; 
  6789.       publication_period          : aliased Duration_T; 
  6790.    end record 
  6791.      with Convention => C; 
  6792.    pragma Compile_Time_Error (MonitoringEventDistributionSettings'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_MonitoringEventDistributionSettings'Size, "MonitoringEventDistributionSettings'size missmatch"); 
  6793.  
  6794.    type MonitoringPeriodicDistributionSettings is record 
  6795.       datawriter_qos_profile_name : aliased String; 
  6796.       thread                      : aliased ThreadSettings_T; 
  6797.       polling_period              : aliased Duration_T; 
  6798.    end record 
  6799.      with Convention => C; 
  6800.    pragma Compile_Time_Error (MonitoringPeriodicDistributionSettings'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_MonitoringPeriodicDistributionSettings'Size, "MonitoringPeriodicDistributionSettings'size missmatch"); 
  6801.  
  6802.    type MonitoringLoggingDistributionSettings is record 
  6803.       concurrency_level           : aliased Unsigned_Long; 
  6804.       max_historical_logs         : aliased Unsigned_Long; 
  6805.       datawriter_qos_profile_name : aliased String; 
  6806.       thread                      : aliased ThreadSettings_T; 
  6807.       publication_period          : aliased Duration_T; 
  6808.    end record 
  6809.      with Convention => C; 
  6810.    pragma Compile_Time_Error (MonitoringLoggingDistributionSettings'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_MonitoringLoggingDistributionSettings'Size, "MonitoringLoggingDistributionSettings'size missmatch"); 
  6811.  
  6812.    type MonitoringDistributionSettings is record 
  6813.       Dedicated_Participant      : aliased MonitoringDedicatedParticipantSettings; 
  6814.       publisher_qos_profile_name : aliased String; 
  6815.       event_settings             : aliased MonitoringEventDistributionSettings; 
  6816.       periodic_settings          : aliased MonitoringPeriodicDistributionSettings; 
  6817.       logging_settings           : aliased MonitoringLoggingDistributionSettings; 
  6818.    end record 
  6819.      with Convention => C; 
  6820.    pragma Compile_Time_Error (MonitoringDistributionSettings'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_MonitoringDistributionSettings'Size, "MonitoringDistributionSettings'size missmatch"); 
  6821.  
  6822.    type MonitoringMetricSelection is record 
  6823.       resource_selection            : aliased String; 
  6824.       enabled_metrics_selection     : aliased String_Seq.Sequence; 
  6825.       disabled_metrics_selection    : aliased String_Seq.Sequence; 
  6826.    end record 
  6827.      with Convention => C; 
  6828.    pragma Compile_Time_Error (MonitoringMetricSelection'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_MonitoringMetricSelection'Size, "MonitoringMetricSelection'size missmatch"); 
  6829.  
  6830.    type MonitoringMetricSelection_Access is access all MonitoringMetricSelection; 
  6831.    type MonitoringMetricSelection_Array is array 
  6832.      (Natural range <>) of aliased MonitoringMetricSelection; 
  6833.    procedure Initialize (Self : in out MonitoringMetricSelection); 
  6834.    procedure Finalize (Self : in out MonitoringMetricSelection); 
  6835.    procedure Copy (Dst : in out MonitoringMetricSelection; 
  6836.                    Src : in MonitoringMetricSelection); 
  6837.  
  6838.    package MonitoringMetricSelection_Seq is new DDS_Support.Sequences_Generic 
  6839.      (MonitoringMetricSelection, 
  6840.       MonitoringMetricSelection_Access, 
  6841.       DDS.Natural, 
  6842.       1, 
  6843.       MonitoringMetricSelection_Array); 
  6844.  
  6845.    type MonitoringLoggingForwardingSettings is record 
  6846.       middleware_forwarding_level   : aliased RTIDDS.Low_Level.ndds_ndds_config_common_h.NDDS_Config_SyslogVerbosity := RTIDDS.Low_Level.ndds_ndds_config_common_h.NDDS_CONFIG_SYSLOG_VERBOSITY_WARNING; 
  6847.       security_forwarding_level     : aliased RTIDDS.Low_Level.ndds_ndds_config_common_h.NDDS_Config_SyslogVerbosity := RTIDDS.Low_Level.ndds_ndds_config_common_h.NDDS_CONFIG_SYSLOG_VERBOSITY_WARNING; 
  6848.       service_forwarding_level      : aliased RTIDDS.Low_Level.ndds_ndds_config_common_h.NDDS_Config_SyslogVerbosity := RTIDDS.Low_Level.ndds_ndds_config_common_h.NDDS_CONFIG_SYSLOG_VERBOSITY_WARNING; 
  6849.       user_forwarding_level         : aliased RTIDDS.Low_Level.ndds_ndds_config_common_h.NDDS_Config_SyslogVerbosity := RTIDDS.Low_Level.ndds_ndds_config_common_h.NDDS_CONFIG_SYSLOG_VERBOSITY_WARNING; 
  6850.    end record 
  6851.      with Convention => C; 
  6852.    pragma Compile_Time_Error (MonitoringLoggingForwardingSettings'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_MonitoringLoggingForwardingSettings'Size, "MonitoringLoggingForwardingSettings'size missmatch"); 
  6853.  
  6854.    type MonitoringTelemetryData is record 
  6855.       logs     : aliased MonitoringMetricSelection_Seq.Sequence; 
  6856.       metrics  : aliased MonitoringLoggingForwardingSettings; 
  6857.    end record 
  6858.      with Convention => C; 
  6859.    pragma Compile_Time_Error (MonitoringTelemetryData'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_MonitoringTelemetryData'Size, "MonitoringTelemetryData'size missmatch"); 
  6860.  
  6861.    type MonitoringQosPolicy is record 
  6862.       enable                : aliased Boolean; 
  6863.       application_name      : aliased String; 
  6864.       distribution_settings : aliased MonitoringDistributionSettings; 
  6865.       telemetry_data        : aliased MonitoringTelemetryData; 
  6866.    end record 
  6867.      with Convention => C; 
  6868.    pragma Compile_Time_Error (MonitoringQosPolicy'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_MonitoringQosPolicy'Size, "MonitoringQosPolicy'size missmatch"); 
  6869.  
  6870.    --  ---------------------------------------------------------- 
  6871.    --                  DomainParticipantFactoryQos 
  6872.    --  ---------------------------------------------------------- 
  6873.  
  6874.  
  6875.    type DomainParticipantFactoryQos is new Ada.Finalization.Limited_Controlled with  record 
  6876.       Entity_Factory  : aliased EntityFactoryQosPolicy; 
  6877.       -- --- Extensions: ---------------------------------------------------- 
  6878.       Resource_Limits : aliased SystemResourceLimitsQosPolicy; 
  6879.       Profile         : aliased ProfileQosPolicy; 
  6880.       Logging         : aliased LoggingQosPolicy; 
  6881.       monitoring      : aliased MonitoringQosPolicy; 
  6882.    end record; 
  6883.    --  <dref>DomainParticipantFactoryQos</dref> 
  6884.    --  <dref name="Entity_Factory">DomainParticipantFactoryQos_entity_factory</dref> 
  6885.    --  <dref name="Resource_Limits">DomainParticipantFactoryQos_resource_limits</dref> 
  6886.    --  <dref name="Profile">DomainParticipantFactoryQos_profile</dref> 
  6887.    --  <dref name="Logging">DomainParticipantFactoryQos_logging</dref> 
  6888.  
  6889.    pragma Convention (C, DomainParticipantFactoryQos); 
  6890.  
  6891.    type DomainParticipantFactoryQos_Access is access constant DomainParticipantFactoryQos; 
  6892.  
  6893.    --  <dref>DomainParticipantFactoryQos_copy</dref> 
  6894.    procedure Copy 
  6895.      (Target : out DomainParticipantFactoryQos; 
  6896.       Source : in DomainParticipantFactoryQos); 
  6897.  
  6898.    --  <dref>DomainParticipantFactoryQos_initialize</dref> 
  6899.    procedure Initialize 
  6900.      (Self : in out DomainParticipantFactoryQos); 
  6901.  
  6902.    --  <dref>DomainParticipantFactoryQos_finalize</dref> 
  6903.    procedure Finalize 
  6904.      (Self : in out DomainParticipantFactoryQos); 
  6905.  
  6906.    -- 
  6907.    --  From dds_c_flowcontroller.h 
  6908.    -- 
  6909.  
  6910.    --  ---------------------------------------------------------- 
  6911.    --                  Flow Confroller 
  6912.    --  ---------------------------------------------------------- 
  6913.  
  6914.    DEFAULT_FLOW_CONTROLLER_NAME : constant DDS.String := To_DDS_String ("DDS_DEFAULT_FLOW_CONTROLLER_NAME"); 
  6915.    --  <dref>DEFAULT_FLOW_CONTROLLER_NAME</dref> 
  6916.    --  <dref>Shared_default_flow_controller_name</dref> 
  6917.  
  6918.    FIXED_RATE_FLOW_CONTROLLER_NAME : constant DDS.String := To_DDS_String ("DDS_FIXED_RATE_FLOW_CONTROLLER_NAME"); 
  6919.    --  <dref>FIXED_RATE_FLOW_CONTROLLER_NAME</dref> 
  6920.    --  <dref>Shared_fixed_rate_flow_controller_name</dref> 
  6921.  
  6922.  
  6923.    ON_DEMAND_FLOW_CONTROLLER_NAME : constant DDS.String := To_DDS_String ("DDS_ON_DEMAND_FLOW_CONTROLLER_NAME"); 
  6924.    --  <dref>ON_DEMAND_FLOW_CONTROLLER_NAME</dref> 
  6925.    --  <dref>Shared_on_demand_flow_controller_name</dref> 
  6926.  
  6927.  
  6928.    type FlowControllerSchedulingPolicy is new Unsigned_Long; 
  6929.    --  <dref>FlowControllerSchedulingPolicy</dref> 
  6930.    --  <dref>Shared_FlowControllerSchedulingPolicy</dref> 
  6931.  
  6932.    RR_FLOW_CONTROLLER_SCHED_POLICY : constant FlowControllerSchedulingPolicy := 0; 
  6933.    --  <dref>FlowControllerSchedulingPolicy_RR_FLOW_CONTROLLER_SCHED_POLICY</dref> 
  6934.    --  <dref>Shared_RR_FLOW_CONTROLLER_SCHED_POLICY</dref> 
  6935.  
  6936.    EDF_FLOW_CONTROLLER_SCHED_POLICY : constant FlowControllerSchedulingPolicy := 1; 
  6937.    --  <dref>FlowControllerSchedulingPolicy_EDF_FLOW_CONTROLLER_SCHED_POLICY</dref> 
  6938.    --  <dref>Shared_EDF_FLOW_CONTROLLER_SCHED_POLICY</dref> 
  6939.  
  6940.    type FlowControllerTokenBucketProperty_T is record 
  6941.       Max_Tokens               : aliased DDS.Long := 0; 
  6942.       Tokens_Added_Per_Period  : aliased DDS.Long := 0; 
  6943.       Tokens_Leaked_Per_Period : aliased DDS.Long := 0; 
  6944.       Period                   : aliased Duration_T; 
  6945.       Bytes_Per_Token          : aliased DDS.Long := 0; 
  6946.    end record; 
  6947.    --  <dref>FlowControllerTokenBucketProperty_t</dref> 
  6948.    --  <dref>Shared_max_tokens</dref> 
  6949.    --  <dref>Shared_tokens_added_per_period</dref> 
  6950.    --  <dref>Shared_tokens_leaked_per_period</dref> 
  6951.    --  <dref>Shared_flow_controller_property_period</dref> 
  6952.    --  <dref>Shared_bytes_per_token</dref> 
  6953.    --  <dref name="max_tokens">FlowControllerTokenBucketProperty_t_max_tokens</dref> 
  6954.    --  <dref name="tokens_added_per_period">FlowControllerTokenBucketProperty_t_tokens_added_per_period</dref> 
  6955.    --  <dref name="tokens_leaked_per_period">FlowControllerTokenBucketProperty_t_tokens_leaked_per_period</dref> 
  6956.    --  <dref name="period">FlowControllerTokenBucketProperty_t_period</dref> 
  6957.    --  <dref name="bytes_per_token">FlowControllerTokenBucketProperty_t_bytes_per_token</dref> 
  6958.  
  6959.    pragma Convention (C, FlowControllerTokenBucketProperty_T); 
  6960.  
  6961.    type FlowControllerProperty_T is record 
  6962.       Scheduling_Policy  : aliased DDS.FlowControllerSchedulingPolicy := EDF_FLOW_CONTROLLER_SCHED_POLICY; 
  6963.       Token_Bucket       : aliased DDS.FlowControllerTokenBucketProperty_T := (-1, -1, 0, (1, 0), -1); 
  6964.       Is_Vendor_Specific : aliased DDS.Boolean := False; 
  6965.    end record; 
  6966.    --  <dref>FlowControllerProperty_t</dref> 
  6967.    --  <dref>Shared_FlowControllerProperty_t</dref> 
  6968.    --  <dref name="scheduling_policy">FlowControllerProperty_t_scheduling_policy</dref> 
  6969.    --  <dref name="token_bucket">FlowControllerProperty_t_token_bucket</dref> 
  6970.    --  <dref internal="true" name="is_vendor_specific">FlowControllerProperty_t_is_vendor_specific</dref> 
  6971.  
  6972.    pragma Convention (C, FlowControllerProperty_T); 
  6973.  
  6974.    FlowControllerProperty_T_INITIALIZER : constant FlowControllerProperty_T := 
  6975.                                             (Scheduling_Policy  => DDS.EDF_FLOW_CONTROLLER_SCHED_POLICY, 
  6976.                                              Token_Bucket       => (-1, -1, 0, (1, 0), -1), 
  6977.                                              Is_Vendor_Specific => False); 
  6978.    --  <dref>FlowControllerProperty_t_INITIALIZER</dref> 
  6979.  
  6980.  
  6981.    procedure Copy (C_Out : not null access FlowControllerProperty_T; 
  6982.                    C_In  : not null access FlowControllerProperty_T); 
  6983.    --  <dref internal="true">FlowControllerProperty_copy</dref> 
  6984.  
  6985.  
  6986.  
  6987.    type InvalidLocalIdentityAdvanceNoticeStatus is record 
  6988.       Expiration_Time : aliased Time_T; 
  6989.    end record with Convention => C; 
  6990.    pragma Compile_Time_Error (InvalidLocalIdentityAdvanceNoticeStatus'Size /= RTIDDS.Low_Level.ndds_dds_c_dds_c_domain_h.DDS_InvalidLocalIdentityAdvanceNoticeStatus'Size, "InvalidLocalIdentityAdvanceNoticeStatus'Size missmatch"); 
  6991.    -- 
  6992.    --  DLRL stuff 
  6993.    -- 
  6994.  
  6995.  
  6996.    type ReferenceScope is 
  6997.      (SIMPLE_CONTENT_SCOPE, 
  6998.       REFERENCED_CONTENTS_SCOPE); 
  6999.  
  7000.    type ObjectScope is 
  7001.      (SIMPLE_OBJECT_SCOPE, 
  7002.       CONTAINED_OBJECTS_SCOPE, 
  7003.       RELATED_OBJECTS_SCOPE); 
  7004.  
  7005.    type DCPSState is 
  7006.      (INITIAL, 
  7007.       REGISTERED, 
  7008.       ENABLED); 
  7009.  
  7010.    type CacheUsage is 
  7011.      (READ_ONLY, 
  7012.       WRITE_ONLY, 
  7013.       READ_WRITE); 
  7014.  
  7015.    type ObjectSubState is new Interfaces.Unsigned_16; 
  7016.  
  7017.    OBJECT_NEW       : constant ObjectSubState := 2#0000_0000_0000_0001#; 
  7018.    OBJECT_MODIFIED  : constant ObjectSubState := 2#0000_0000_0000_0010#; 
  7019.    OBJECT_READ      : constant ObjectSubState := 2#0000_0000_0000_0100#; 
  7020.    OBJECT_DELETED   : constant ObjectSubState := 2#0000_0000_0000_1000#; 
  7021.    OBJECT_CREATED   : constant ObjectSubState := 2#0000_0001_0000_0000#; 
  7022.    OBJECT_CHANGED   : constant ObjectSubState := 2#0000_0010_0000_0000#; 
  7023.    OBJECT_WRITTEN   : constant ObjectSubState := 2#0000_0100_0000_0000#; 
  7024.    OBJECT_DESTROYED : constant ObjectSubState := 2#0000_1000_0000_0000#; 
  7025.  
  7026.    type DLRLOid is record 
  7027.       Creator_Id : aliased Unsigned_Long; 
  7028.       Local_Id   : aliased Unsigned_Long; 
  7029.    end record; 
  7030.  
  7031.    type TimeOutDuration is    new Interfaces.Unsigned_32; 
  7032.  
  7033.    INFINITE_TIME_OUT : constant TimeOutDuration  := -1; 
  7034.  
  7035.    subtype ClassName is DDS.String; 
  7036.    subtype CacheName is  DDS.String; 
  7037.    subtype RelationName is DDS.String; 
  7038.  
  7039.    BadParameter    : exception; 
  7040.  
  7041.    NotFound        : exception; 
  7042.  
  7043.    --  type ReadOnlyMode_Members is 
  7044.  
  7045.    ReadOnlyMode    : exception; 
  7046.  
  7047.    WriteOnlyMode   : exception; 
  7048.  
  7049.    AlreadyClonedInWriteMode : exception; 
  7050.  
  7051.    ExpiredTimeOut  : exception; 
  7052.  
  7053.  
  7054.    type ObjectReference is record 
  7055.       Oid        : DLRLOid; 
  7056.       Home_Index : Unsigned_Long; 
  7057.    end record; 
  7058.  
  7059.    type RelationKind is 
  7060.      (REF_RELATION, 
  7061.       LIST_RELATION, 
  7062.       INT_MAP_RELATION, 
  7063.       STR_MAP_RELATION); 
  7064.  
  7065.  
  7066.    type RelatedObjectDepth is   new Short_Integer; 
  7067.    UNLIMITED_RELATED_OBJECTS : constant RelatedObjectDepth    := -1; 
  7068.  
  7069.    type MembershipState is 
  7070.      (UNDEFINED_MEMBERSHIP, 
  7071.       ALREADY_MEMBER, 
  7072.       NOT_MEMBER); 
  7073.  
  7074.    -- 
  7075.    --  end DLRL stuff 
  7076.    -- 
  7077.  
  7078.  
  7079.    Not_Implemented : exception; 
  7080.  
  7081.    --  type Wide_String is access all Standard.Wide_Character; 
  7082.  
  7083.    --  <internal> 
  7084.    --  DDS_Octets support methods 
  7085.    --  </internal> 
  7086.  
  7087.    function Octets_New 
  7088.      return Octets_Ptr; 
  7089.    --  <dref>Octets_new</dref> 
  7090.  
  7091.    function Octets_New_W_Size 
  7092.      (Size : Integer) 
  7093.       return Octets_Ptr; 
  7094.    --  <dref>Octets_new_with_length</dref> 
  7095.  
  7096.    procedure Octets_Delete 
  7097.      (Self : Octets_Ptr); 
  7098.    --  <dref>Octets_destroy</dref> 
  7099.  
  7100.    --  <internal> 
  7101.    --  DDS_KeyedString support methods 
  7102.    --  </internal> 
  7103.  
  7104.    function KeyedString_New 
  7105.      return KeyedString_Ptr; 
  7106.    --  <dref>KeyedString_new</dref> 
  7107.  
  7108.    function KeyedString_New_W_Size 
  7109.      (Key_Size : Integer; 
  7110.       Size     : Integer) 
  7111.       return KeyedString_Ptr; 
  7112.    --  <dref>KeyedString_new_with_length</dref> 
  7113.  
  7114.    procedure KeyedString_Delete 
  7115.      (Self : KeyedString_Ptr); 
  7116.    --  <dref>KeyedString_destroy</dref> 
  7117.  
  7118.    --  <internal> 
  7119.    --  DDS_KeyedOctets support methods 
  7120.    --  </internal> 
  7121.  
  7122.    function KeyedOctets_New 
  7123.      return KeyedOctets_Ptr; 
  7124.    --  <dref>KeyedOctets_new</dref> 
  7125.  
  7126.    function KeyedOctets_New_W_Size 
  7127.      (Key_Size : Integer; 
  7128.       Size     : Integer) 
  7129.       return KeyedOctets_Ptr; 
  7130.    --  <dref>KeyedOctets_new_with_length</dref> 
  7131.  
  7132.    procedure KeyedOctets_Delete 
  7133.      (Self : KeyedOctets_Ptr); 
  7134.    --  <dref>KeyedOctets_destroy</dref> 
  7135.  
  7136.    function Convert (Code : RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_ReturnCode_t) return DDS.ReturnCode_T; 
  7137.  
  7138.    procedure Ret_Code_To_Exception (Code    : RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_ReturnCode_t; 
  7139.                                     Message : Standard.String := ""); 
  7140.  
  7141.    procedure Ret_Code_To_Exception (Code    : RTIDDS.Low_Level.ndds_dds_c_dds_c_common_h.DDS_Boolean; 
  7142.                                     Message : Standard.String := ""); 
  7143.  
  7144. private 
  7145.    type TypeObject is new  RTIDDS.Low_Level.ndds_dds_c_dds_c_typeobject_h.DDS_Type; 
  7146.    type TypeCode is new RTIDDS.Low_Level.ndds_dds_c_dds_c_typecode_h.DDS_TypeCode; 
  7147.  
  7148.    type LogCategory is new RTIDDS.Low_Level.ndds_ndds_config_c_h.NDDS_Config_LogCategory; 
  7149.    Category_PLATFORM      : constant LogCategory := LogCategory (RTIDDS.Low_Level.ndds_ndds_config_c_h.NDDS_CONFIG_LOG_CATEGORY_PLATFORM); 
  7150.    Category_COMMUNICATION : constant LogCategory := LogCategory (RTIDDS.Low_Level.ndds_ndds_config_c_h.NDDS_CONFIG_LOG_CATEGORY_COMMUNICATION); 
  7151.    Category_DATABASE      : constant LogCategory := LogCategory (RTIDDS.Low_Level.ndds_ndds_config_c_h.NDDS_CONFIG_LOG_CATEGORY_DATABASE); 
  7152.    Category_ENTITIES      : constant LogCategory := LogCategory (RTIDDS.Low_Level.ndds_ndds_config_c_h.NDDS_CONFIG_LOG_CATEGORY_ENTITIES); 
  7153.    Category_API           : constant LogCategory := LogCategory (RTIDDS.Low_Level.ndds_ndds_config_c_h.NDDS_CONFIG_LOG_CATEGORY_API); 
  7154.    Category_ALL           : constant LogCategory := LogCategory (RTIDDS.Low_Level.ndds_ndds_config_c_h.NDDS_CONFIG_LOG_CATEGORY_ALL); 
  7155.  
  7156.    type LogVerbosity is new RTIDDS.Low_Level.ndds_ndds_config_c_h.NDDS_Config_LogVerbosity; 
  7157.  
  7158.    VERBOSITY_SILENT        : constant LogVerbosity := LogVerbosity (RTIDDS.Low_Level.ndds_ndds_config_c_h.NDDS_CONFIG_LOG_VERBOSITY_SILENT); 
  7159.    VERBOSITY_ERROR         : constant LogVerbosity := LogVerbosity (RTIDDS.Low_Level.ndds_ndds_config_c_h.NDDS_CONFIG_LOG_VERBOSITY_ERROR); 
  7160.    VERBOSITY_WARNING       : constant LogVerbosity := LogVerbosity (RTIDDS.Low_Level.ndds_ndds_config_c_h.NDDS_CONFIG_LOG_VERBOSITY_WARNING); 
  7161.    VERBOSITY_STATUS_LOCAL  : constant LogVerbosity := LogVerbosity (RTIDDS.Low_Level.ndds_ndds_config_c_h.NDDS_CONFIG_LOG_VERBOSITY_STATUS_LOCAL); 
  7162.    VERBOSITY_STATUS_REMOTE : constant LogVerbosity := LogVerbosity (RTIDDS.Low_Level.ndds_ndds_config_c_h.NDDS_CONFIG_LOG_VERBOSITY_STATUS_REMOTE); 
  7163.    VERBOSITY_ALL           : constant LogVerbosity := LogVerbosity (RTIDDS.Low_Level.ndds_ndds_config_c_h.NDDS_CONFIG_LOG_VERBOSITY_STATUS_ALL); 
  7164.  
  7165.    type LogPrintFormat is new RTIDDS.Low_Level.ndds_ndds_config_c_h.NDDS_Config_LogPrintFormat; 
  7166.    PRINT_NONE                       : constant LogPrintFormat := LogPrintFormat (0); 
  7167.    PRINT_BIT_NUMBER                 : constant LogPrintFormat := LogPrintFormat (RTIDDS.Low_Level.ndds_ndds_config_c_h.NDDS_CONFIG_LOG_PRINT_BIT_NUMBER); 
  7168.    PRINT_BIT_MESSAGE                : constant LogPrintFormat := LogPrintFormat (RTIDDS.Low_Level.ndds_ndds_config_c_h.NDDS_CONFIG_LOG_PRINT_BIT_MESSAGE); 
  7169.    PRINT_BIT_LOCATION_MODULE        : constant LogPrintFormat := LogPrintFormat (RTIDDS.Low_Level.ndds_ndds_config_c_h.NDDS_CONFIG_LOG_PRINT_BIT_LOCATION_MODULE); 
  7170.    PRINT_BIT_LOCATION_FILELINE      : constant LogPrintFormat := LogPrintFormat (RTIDDS.Low_Level.ndds_ndds_config_c_h.NDDS_CONFIG_LOG_PRINT_BIT_LOCATION_FILELINE); 
  7171.    PRINT_BIT_LOCATION_METHOD        : constant LogPrintFormat := LogPrintFormat (RTIDDS.Low_Level.ndds_ndds_config_c_h.NDDS_CONFIG_LOG_PRINT_BIT_LOCATION_METHOD); 
  7172.    PRINT_BIT_TIMESTAMP              : constant LogPrintFormat := LogPrintFormat (RTIDDS.Low_Level.ndds_ndds_config_c_h.NDDS_CONFIG_LOG_PRINT_BIT_TIMESTAMP); 
  7173.    PRINT_BIT_THREAD_ID              : constant LogPrintFormat := LogPrintFormat (RTIDDS.Low_Level.ndds_ndds_config_c_h.NDDS_CONFIG_LOG_PRINT_BIT_THREAD_ID); 
  7174.    PRINT_BIT_CONTEXT                : constant LogPrintFormat := LogPrintFormat (RTIDDS.Low_Level.ndds_ndds_config_c_h.NDDS_CONFIG_LOG_PRINT_BIT_ACTIVITY_CONTEXT); 
  7175.    PRINT_BIT_TWO_LINES              : constant LogPrintFormat := LogPrintFormat (RTIDDS.Low_Level.ndds_ndds_config_c_h.NDDS_CONFIG_LOG_PRINT_BIT_TWO_LINES); 
  7176.    PRINT_FORMAT_DEFAULT             : constant LogPrintFormat := LogPrintFormat (RTIDDS.Low_Level.ndds_ndds_config_c_h.NDDS_CONFIG_LOG_PRINT_FORMAT_DEFAULT); 
  7177.    PRINT_FORMAT_TIMESTAMPED         : constant LogPrintFormat := LogPrintFormat (RTIDDS.Low_Level.ndds_ndds_config_c_h.NDDS_CONFIG_LOG_PRINT_FORMAT_TIMESTAMPED); 
  7178.    PRINT_FORMAT_VERBOSE             : constant LogPrintFormat := LogPrintFormat (RTIDDS.Low_Level.ndds_ndds_config_c_h.NDDS_CONFIG_LOG_PRINT_FORMAT_VERBOSE); 
  7179.    PRINT_FORMAT_VERBOSE_TIMESTAMPED : constant LogPrintFormat := LogPrintFormat (RTIDDS.Low_Level.ndds_ndds_config_c_h.NDDS_CONFIG_LOG_PRINT_FORMAT_VERBOSE_TIMESTAMPED); 
  7180.    PRINT_FORMAT_DEBUG               : constant LogPrintFormat := LogPrintFormat (RTIDDS.Low_Level.ndds_ndds_config_c_h.NDDS_CONFIG_LOG_PRINT_FORMAT_DEBUG); 
  7181.    PRINT_FORMAT_MINIMAL             : constant LogPrintFormat := LogPrintFormat (RTIDDS.Low_Level.ndds_ndds_config_c_h.NDDS_CONFIG_LOG_PRINT_FORMAT_DEBUG); 
  7182.    PRINT_FORMAT_MAXIMAL             : constant LogPrintFormat := LogPrintFormat (RTIDDS.Low_Level.ndds_ndds_config_c_h.NDDS_CONFIG_LOG_PRINT_FORMAT_MAXIMAL); 
  7183.  
  7184.  
  7185.    use Interfaces.C.Strings; 
  7186.    --  private routines to get address of c-comaptible Qos 
  7187.  
  7188.    function GetInterface (P_Qos : TopicQos) return access RTIDDS.Low_Level.ndds_dds_c_dds_c_topic_h.DDS_TopicQos; 
  7189.    --  pragma Compile_Time_Error (DDS.TopicQos'Size /= (RTIDDS.Low_Level.ndds_dds_c_dds_c_topic_h.DDS_TopicQos'Size + 64), "TopicQos size missmatch"); 
  7190.  
  7191.    --  pragma Debug (Put_Line (DDS.TopicQos'Size'Img & "-->" & Integer'(RTIDDS.Low_Level.ndds_dds_c_dds_c_topic_h.DDS_TopicQos'Size + 64)'Img)); 
  7192.  
  7193.    function GetInterface (P_Qos : DataWriterQos) return access RTIDDS.Low_Level.ndds_dds_c_dds_c_publication_h.DDS_DataWriterQos; 
  7194.    function GetInterface (P_Qos : PublisherQos) return access RTIDDS.Low_Level.ndds_dds_c_dds_c_publication_h.DDS_PublisherQos; 
  7195.    function GetInterface (P_Qos : DataReaderQoS) return access RTIDDS.Low_Level.ndds_dds_c_dds_c_subscription_h.DDS_DataReaderQos; 
  7196.    function GetInterface (P_Qos : SubscriberQos) return access RTIDDS.Low_Level.ndds_dds_c_dds_c_subscription_h.DDS_SubscriberQos; 
  7197.    function GetInterface (P_Qos : DomainParticipantQos) return access RTIDDS.Low_Level.ndds_dds_c_dds_c_domain_h.DDS_DomainParticipantQos; 
  7198.    function GetInterface (P_Qos : DomainParticipantFactoryQos) return access RTIDDS.Low_Level.ndds_dds_c_dds_c_domain_h.DDS_DomainParticipantFactoryQos; 
  7199.    function GetInterface (FC_P : FlowControllerProperty_T) return access RTIDDS.Low_Level.ndds_dds_c_dds_c_flowcontroller_h.DDS_FlowControllerProperty_t; 
  7200.  
  7201.  
  7202.    procedure Free is new Ada.Unchecked_Deallocation (PublisherQos, PublisherQos_Access); 
  7203.    procedure Free is new Ada.Unchecked_Deallocation (SubscriberQos, SubscriberQos_Access); 
  7204.    procedure Free is new Ada.Unchecked_Deallocation (TopicQos, TopicQos_Access); 
  7205.  
  7206.    procedure Validate_Library; 
  7207.  
  7208.    procedure Copy_Down (Dst    : out RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_Time_t; 
  7209.                         Source : DDS.Time_T); 
  7210.  
  7211.    procedure Copy_Down (Dst    : out RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_WriteParams_t; 
  7212.                         Source : DDS.WriteParams_T); 
  7213.  
  7214.    procedure Copy_Up (Dst    : out DDS.Time_T; 
  7215.                       Source : RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_Time_t); 
  7216.  
  7217.    procedure Copy_Down (Dst    : out RTIDDS.Low_Level.ndds_pres_pres_participant_h.PRESInstanceHandle; 
  7218.                         Source : DDS.InstanceHandle_T); 
  7219.  
  7220.    procedure Copy_Up (Dst    : out DDS.InstanceHandle_T; 
  7221.                       Source : RTIDDS.Low_Level.ndds_pres_pres_participant_h.PRESInstanceHandle); 
  7222.  
  7223.    procedure Copy_Down (Dst    : out RTIDDS.Low_Level.ndds_dds_c_dds_c_common_h.DDS_Boolean; 
  7224.                         Source : DDS.Boolean); 
  7225.  
  7226.    procedure Copy_Down (Dst    : out RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_SampleIdentity_t; 
  7227.                         Source : DDS.SampleIdentity_T); 
  7228.  
  7229.    procedure Copy_Down (Dst    : out RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_GUID_t; 
  7230.                         Source : DDS.Guid_T); 
  7231.  
  7232.    procedure Copy_Down (Dst    : out RTIDDS.Low_Level.ndds_dds_c_dds_c_common_h.DDS_Long; 
  7233.                         Source : DDS.Long); 
  7234.  
  7235.    procedure Copy_Down (Dst    : out RTIDDS.Low_Level.ndds_dds_c_dds_c_common_h.DDS_Long; 
  7236.                         Source : DDS.SampleFlag); 
  7237.  
  7238.    procedure Copy_Down (Dst    : out RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_SequenceNumber_t; 
  7239.                         Source : DDS.SequenceNumber_T); 
  7240.    procedure Copy_Down (Dst    : out RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_OctetSeq; 
  7241.                         Source : DDS.Octet_Seq.Sequence); 
  7242.    procedure Copy_Down (Dst    : out RTIDDS.Low_Level.ndds_dds_c_dds_c_infrastructure_h.DDS_Cookie_t; 
  7243.                         Source : DDS.Cookie_T); 
  7244.  
  7245.  
  7246.    procedure Copy_Down (Dst    : out RTIDDS.Low_Level.ndds_dds_c_dds_c_common_h.DDS_UnsignedLong; 
  7247.                         Source : DDS.Unsigned_Long); 
  7248.  
  7249.    Epoch  : constant Ada.Calendar.Time := Ada.Calendar.Time_Of (1970, 01, 01); 
  7250.  
  7251.    function GetInterface (Item : String) return access Interfaces.C.Strings.chars_ptr; 
  7252.    function GetInterface (Item : String) return Interfaces.C.Strings.chars_ptr; 
  7253.    procedure Copy (To : in out String; From : Interfaces.C.Strings.chars_ptr); 
  7254.  
  7255.    procedure Debug_Trap; 
  7256.    --  pragma Compile_Time_Error (DomainParticipantFactoryQos'Size /= (RTIDDS.Low_Level.ndds_dds_c_dds_c_domain_h.DDS_DomainParticipantFactoryQos'Size + Short_Ptr'Size), "DomainParticipantFactoryQos'size missmatch"); 
  7257.  
  7258. end DDS;